기본설정 - 자동완성에서 자동삽입 부분을 끄면된다.

(수정하려는데 무조건 "" 입력되서 매우 빡침 ㅋㅋ)


Posted by 구차니

스샷 찍는법을 찾아서 하는데

걍.. fraps 통해서 찍고 나서 변환할까.. 은근 버벅대네.

단축키 때문에 은근 걸려서 어쩔수 없이 자체 기능으로 찍긴해야 하는데 흐음..


일단은 후다닥 깰 생각으로 보통 난이도록 시작

오프닝 동영상 이후.. 헐 캐리건 이렇게 어뻤나?!?!?!?!

괜히 멋지게 나와서 ㅋㅋ 그나저나 성우 목소리가 좀 너무 가늘은 느낌?

미션 1? 해방의 날

쓸데없이 정겨운 한글 간판들 ㅋㅋ 사나이는 한곳만 판다 ㅋㅋ

임무 소요 시간과 각종 업적들이 나와서 여러번 할만하게 만드네?

난이도 관련도 있으니 아주 어려움으로도 또 게임해봐야 할 듯 ㅠㅠ

미션2 - 무법자들

역시 먹튀랑 막타치기가 짱이지!


미션 3 - 0시를 향하여

성큰 콜로니가 이렇게 바뀌었나? 원래 이렇게 강했나 아주 마린이 우르르 갈려나가네 ㅠㅠ

와.. 배틀크루저가 이렇게 멋졌나 -ㅁ- 완전 광역 공격 ㄷㄷ


로딩 컷신이 멋져서 스샷.. 이거 그냥 이미지 있으면 배경화면 쓰면 되겠네 +_+

3d 모델링 한다고 빡셨겠다 ㅋㅋ


Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 8. 22:45

configuration flash memory에 저장되는 데이터는 어떤 포맷인가 검색을 해봤는데

LSB 로 저장된다 정도만 알았을 뿐이지 어떤 식으로 구현이 적용되는지

포맷 자체가 어떤지는 알 수가 없네...


MCU의 파일이야 ELF 포맷이라던가 그런식으로 존재하는데..

얘는 로직셀에 직접 쓰여지고 적용되는 거니..

당연(?)할지 모르겠지만 altera나 xilinx의 제품간의 바이너리가 호환될리는...

당연히 없을려나?


그나저나 이녀석.. 쓰는건 드럽게 느리고

켜지는건 드럽게 빠른데 도대체 이유를 모르겠네..


BIT Swapping in PROM Files
The PROM Formatter produces a PROM file in which the bits within a byte are swapped compared to the bits in the input BIT file. Bit swapping (also called bit mirroring) reverses the bits within each byte.
Image
In a bitstream contained in a BIT file, the Least Significant Bit (LSB) is always on the left side of a byte. But when a PROM programmer or a microprocessor reads a data byte, it identifies the LSB on the right side of the byte. In order for the PROM programmer or microprocessor to read the bitstream correctly, the bits in each byte must first be swapped so they are read in the correct order.

The bits are automatically swapped for all of the PROM formats: MCS, EXO, BIN and TEK. For a HEX file output, bit swapping is on by default, but it can be turned off by deselecting a Swap Bits option. 

[링크 : https://www.xilinx.com/itp/xilinx10/isehelp/pim_r_promformatter_files.htm]


Bob Stein
February 22nd, 2014, 12:02 PM

Found the answer (http://quartushelp.altera.com/current/mergedProjects/reference/glossary/def_rbf.htm). It is LSB first. 

[링크 : https://alteraforum.com/forum/archive/index.php/t-36022.html]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

ASSP - Application-specific standard product  (0) 2017.12.14
FPGA IP?  (0) 2017.12.11
FPGA 개론?  (0) 2017.12.07
제조사별 FPGA 로직 게이트 갯수 차이  (0) 2017.12.06
altera fpga 라인업  (0) 2017.12.06
Posted by 구차니
embeded/FPGA - XILINX2017. 12. 8. 22:30


KU025/KU035/KU040은 Configuration Flash Memory가 128Mb로 동일하다(16MB)

[링크 : https://www.xilinx.com/.../ug570-ultrascale-configuration.pdf]


하지만 로직 셀의 갯수는 318/444/530 으로 점점 커지는데..

편의상 세개를 하나의 패밀리로 동일 용량을 쓰도록 정책적으로 하는 걸려나?

아무튼.. 128,055,264 bit 는 16006908 Byte 이고 15631KB이고 15.26MB 이다.

16MB는 134,217,728bit

가장 많은 KU040을 기준으로 보면 530K 로직셀이고

128,055,264 / 530,000를 하면 241.6 bit 가 평균으로 나온다.

로직셀 하나당 약 30Byte의 설정이 필요한건가?

[링크 : https://www.xilinx.com/.../ultrascale-fpga-product-selection-guide.pdf]


vertex-5 시리즈를 먼저 찾았는데 계산하기가 용이하지 않아서 일단 패스~

[링크 : http://web.cecs.pdx.edu/~greenwd/fprog.pdf]

[링크 : https://www.xilinx.com/support/documentation/data_sheets/ds100.pdf]

'embeded > FPGA - XILINX' 카테고리의 다른 글

xilinx bitstram ecryption  (0) 2018.01.23
xilinx artix-7 프로젝트 빌드해봄  (0) 2018.01.07
xilinx vivado / ISE 라이센스 관련  (0) 2017.12.19
digilent Arty A7  (0) 2017.12.10
reVISION / zynq 웨비나  (0) 2017.11.09
Posted by 구차니

먼진 몰라도 시간 제한으로 준다니

후다닥 리딤!



[링크 : https://www.humblebundle.com/store/homefront?linkID=&mcID=...utm_content=Banner]

Posted by 구차니
Programming/VHDL2017. 12. 8. 13:19

음.. 이래서 ieee1164 라고 쓰여진거였나 보군...

1364의 경웅는 verilog 추가이고.. 1164 까지는 VHDL 인 듯



[링크 : http://www.micc.unifi.it/seidenari/wp-content/uploads/2010/01/vhdl.pdf]

[링크 : http://www.ics.uci.edu/~jmoorkan/vhdlref/Synario%20VHDL%20Manual.pdf]

[링크 : http://www.srmuniv.ac.in/ramapuram/sites/ramapuram/files/EC308.pdf]

'Programming > VHDL' 카테고리의 다른 글

VHDL과 verilog  (0) 2017.12.11
VHDL 문법 공부중 1  (0) 2017.12.10
VHDL 문법  (0) 2017.12.07
xilinx fpga with vhdl verilog  (0) 2017.12.02
FPGA / CPLD 차이..?  (0) 2017.11.09
Posted by 구차니
Programming/WPF2017. 12. 8. 10:18

IE 에서 WPF 프로그램이 돌아간다길래

한번 해보려는데 그냥 복붙으로는 안되는 무언가가 많은 듯..


일단 IE는 11버전을 쓰고 있는데

<Page xmlns="http://schemas.microsoft.com/winfx/2006/xaml/presentation">

  <!-- Page Content -->

  Hello, Page!

</Page>

[링크 : https://msdn.microsoft.com/ko-kr/library/ms750478(v=vs.110).aspx]


위의 녀석을 복/붙 하면 Hello World 하나만 딱 찍힌다.

먼가 수정을 하거나 Page가 아닌 Window로 시작하는걸 복사해서 해보면

<Window xmlns='http://schemas.microsoft.com/winfx/2006/xaml/presentation' Title='Hello World!'>

<Button>Hello World!</Button>

</Window>

권한오류부터 난리가 나는데

실패한 작업:

Demand

실패한 첫 번째 권한 형식:

System.Security.Permissions.SecurityPermission

실패한 첫 번째 권한:

<IPermission class="System.Security.Permissions.SecurityPermission, mscorlib, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089"

version="1"

Flags="UnmanagedCode"/>


요청 내용:

<IPermission class="System.Security.Permissions.SecurityPermission, mscorlib, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089"

version="1"

Flags="UnmanagedCode"/> 

[링크 : http://www.kyobobook.co.kr/product/detailViewKor.laf?barcode=9788956744261] 에센셜 WPF


아무튼. Button 하나만 있는 녀석은 잘되는데 두개 하면

<Page xmlns="http://schemas.microsoft.com/winfx/2006/xaml/presentation">

<Button>Navigate to Another Page</Button>

<Button>Navigate to Another Page</Button>

</Page> 


별별 희한한 오류가 넘쳐난다. 도대체 무슨 구조인거야 ㅠㅠ

System.Windows.Markup.XamlParseException: 'Page'에 이미 자식이 있으며 'Button'을(를) 추가할 수 없습니다. 'Page'에서는 하나의 자식만 허용됩니다. '5' 줄 '9' 위치입니다.

---> System.InvalidOperationException: 'Page'에 이미 자식이 있으며 'Button'을(를) 추가할 수 없습니다. 'Page'에서는 하나의 자식만 허용됩니다. 


'Programming > WPF' 카테고리의 다른 글

WPF 예제  (0) 2020.09.07
uwp winform wpf  (0) 2020.09.04
mfc winform wpf 와 .net framework  (0) 2017.12.02
Posted by 구차니
개소리 왈왈/독서2017. 12. 8. 09:37

현재 거주중인 지역의 도서관의 희망도서 규정인데...

불만인건.. 권당 3만원 제한과 원서

그리고 컴퓨터/과학 등의 자료는 2년 이내...


아놔.. 왜 컴퓨터 과학은 옛날 책이 더 좋은데 왜왜왜! 2년 제한이냐고 ㅠㅠ

그리고 책값이 드럽게 비싸고 원서가 더 좋은데 왜!!! ㅠㅠ


3.1일부터 희망도서 신청 규정이 변경됨을 알려드립니다.

oo시도서관 희망도서신청 규정 알림

  • 희망도서 구입제외도서
    • 1. 우리도서관이 소장하고 있거나 정기구입 자료에 포함된 자료
    • 2. 비도서자료, 연속간행물(일간지, 주간지등) 및 수험서, 문제집
    • 3. 5권 이상의 전집류, 전공서적, 1권당 3만원 이상의 고가서적, 원서
       * 단, 3만원 이상의 고가서적은 선별하여 신간도서로 구입하겠습니다.
    • 4. 만화/판타지/무협지/로맨스 소설 등
    • 5. 등급이 18세 이상의 성인자료
    • 6. 통상적인 형태가 아닌 자료(너무 크거나 작은 자료, 스프링 제본 도서 등)
    • 7. 출간된 지 5년 이상 된 자료(컴퓨터/과학 등의 자료는 2년 이내)
    • 8. 기타 도서관에 소장이 부적합하다고 판단되는 자료 등
  • 주의사항
    • 1. 월별 회원 당 oo시도서관 전체에서 최대 5권까지
       → 가족대표자에 한함
    • 2. 입수된 희망도서는 희망자에게 개별 통보 후 3일 이내 우선 대출됨
       → 신청한 희망도서를 3일내 대출하지 않은 이력이 2회 이상인 경우 3개월간 신청 제한
    • 3. 3일이 지난 후 희망자가 대출하지 않을 경우 각 자료실 서가에 비치 


Posted by 구차니
분류가 모호한 글2017. 12. 8. 09:34

도로공사에서 제공할줄알았는데

다른데서 알려주는 구만..


[링크 : http://its.go.kr/traffic/accident.do]

[링크 : http://its.go.kr/traffic/control.do]

'분류가 모호한 글' 카테고리의 다른 글

intel FreeD  (0) 2018.03.19
photoscape win10에서 블루스크린 문제  (0) 2017.12.23
변증법/ 유물론  (0) 2017.10.16
보청기 관련 원리/프로그램 글  (0) 2017.07.07
어엌ㅋㅋㅋ 혈당측정 검사지 ㅋㅋㅋ  (0) 2017.06.06
Posted by 구차니
Programming/VHDL2017. 12. 7. 23:11

뜬금없이 공부중!

일단.. 기본적인 문법은 아래와 같다.

대충 보면.. c++ 이나 begin-end 나오는 VB 같기도 하고..


cortex-m3로 따지면 

entity는 입출력 방향과 드라이버 모드 및 핀의 갯수를 설정하고

architecture 에서 해당 entity의(함수?) 내용을(함수 구현) 기재한다.



VHDL을 이용한 FPGA 디지털 설계 실급부터 응용까지

[링크 : http://www.kyobobook.co.kr/product/detailViewKor.laf?barcode=9788979146707]


1.2 기본 논리 게이트의 VHDL 설계

library ieee;

use ieee.std_logic_1164.all; entity AndOr_vhdl is port( a,b : in std_logic; and_out, or_out, not_out : out std_logic); end AndOr_whdl; architecture design of AndOr_vhdl is begin and_out <= a and b; or_out <= a or b; not_out <= not a; end design;


+

[링크 : https://www.altera.com/support/support-resources/design-examples/design-software/vhdl.html]


의외로(?) if - else, switch - case 같은 제어는 보이는데 

for,while 루프는 존재하지 않네(너무 C언어 개발자 티내나? -ㅁ-)

[링크 : http://webdocs.cs.ualberta.ca/~amaral/courses/329/labs/VHDL_Reference.html]

'Programming > VHDL' 카테고리의 다른 글

VHDL 문법 공부중 1  (0) 2017.12.10
VHDL 문법 관련  (0) 2017.12.08
xilinx fpga with vhdl verilog  (0) 2017.12.02
FPGA / CPLD 차이..?  (0) 2017.11.09
CPLD, FPGA  (0) 2009.12.17
Posted by 구차니