개소리 왈왈/블로그2017. 12. 13. 16:13

정보성 댓글인척 하는 스팸인데..

삭제할까 말까의 기로에서(이미 한번 삭제 했었지만) 고민중


아 몰랑 일단 박제했으니 삭제 ㅋㅋ



검색해서 찾아보는데 도대체 몇개를 써먹는 거냐...

[링크 : http://mif32.top/]

[링크 : http://cokr.ow.to/]

[링크 : http://hoon9.top/]



근데 이약.. 국내 판매 허용된 적이 있던가?

그나저나.. 주소로 찾아보니. 그냥 주유소.. 옆 콘테이너??

정체가 머냐?


[링크 : http://dmaps.kr/7akfe] << 이상한 거 아님 해당 주소로 검색한 다음카카오지도임



+

마음 같아서는 비꼬는 마음에

페미니즘 카테고리로 넣어 버리고 싶은 충동이...



+

약 이름 넣고 페미니즘 카테고리 넣으면 월척이다! 할 거 같은 충동이 ㅋㅋㅋ

방문자수 폭발할거 같으나 귀찮아서 패스


+

찾다 보니.. 중국제 가짜약을 팔고 막.. 범죄조직이랑 연결된 곳도 있나 보네.. ㄷㄷㄷ

[링크 : http://womensclinic.tistory.com/52]

Posted by 구차니

사건 자체는

일단 2:2로 술 마시다가 가해자 원룸으로 가서 잤고

4명이 있는 상황에서 가해자가 피해자를 자고 있는 상황에서 강간한 상황이긴 한데


저 판례가 정상적인(?) 썸 타는 상황에 적용되면 무지 골 때려질 듯.

그리고 극단적으로(?) 부부에게도 부부간 성폭행도 있는데 저거까지 되면

사이 안 좋은(?) 부부들은 성관계 할 때 마다 동의서 받아야 할 상황이 올 지도?



"술 마시고 집까지 따라가도 성관계 동의 아냐"…항소심서 실형

[링크 : http://news.naver.com/...aid=0001204442]

Posted by 구차니
Microsoft/Visual Studio2017. 12. 12. 13:49

옵션 끄는건 웬지 찜찜해서(프로젝트가 아닌 VS 전체에 대한 설정)

조금 검색을 해보니

1. suo 파일을 지우고 다시 빌드한다. << 안됨

2. 걍 프로젝트 새로 빌드 해봄 << 안됨

3. 엔터 몇줄 쳐주고 빌드 << 헉 됨 -_-



아래같은 옵션도 있긴한데 먼가 찜찜하고 하니

그냥 엔터 몇줄 쳐주고 해결!


[링크 : http://lab.gamecodi.com/board/zboard.php?id=GAMECODILAB_Lecture&no=241]

Posted by 구차니

게시판명으로 검색해보니 여시꺼 같은데

아무튼.. 저건 PC인가... 페미니즘인가? 아니면 둘이 하이퍼 퓨전된 건가. 

저게 우리나라의 미래라면 걍 언어 자체를 없애고 말 안하고 쳐다도 보지 말고 사는게 속 편할듯.

PC의 최종버전은 전국민의 히키코모리화 인가? ㄷㄷㄷ



[링크 : https://www.instiz.net/pt/4893293]

Posted by 구차니
Programming/VHDL2017. 12. 11. 20:59

VHDL과 베릴로그를 검색해보니 두개가 그렇게 시기적으로 차이나는 것도 아니지만

언어적 접근 방법의 차이로 인해서 많이 갈라지게 된 듯?

VHDL은 하드웨어 적인 접근이고

Verilog는 프로그래밍 언어적인 접근이라고 하면 되려나?


VHDL

1983 DoD / ieee 1076-1987 / ada, pascal

[링크 : https://en.wikipedia.org/wiki/VHDL]

VHSIC Hardware Description Language

[링크 : https://en.wikipedia.org/wiki/VHSIC]


Verilog

1983~1984 early ,cadence design system / ieee 1364-1995 / c

Verilog is a portmanteau of the words "verification" and "logic"

[링크 : https://en.wikipedia.org/wiki/Verilog]

'Programming > VHDL' 카테고리의 다른 글

vhdl / verilog 문법관련 링크  (0) 2017.12.26
xilinx vivado HLx / HLS  (0) 2017.12.24
VHDL 문법 공부중 1  (0) 2017.12.10
VHDL 문법 관련  (0) 2017.12.08
VHDL 문법  (0) 2017.12.07
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 11. 20:14

FPGA 문서들을 보다 보니 IP가 어쩌구 나오는데

Internet Protocol의 그 IP가 아닌거 같아서 찾아 보니


IP Core라고 하기도 하고 IP라고도 하는데 일단 약자 자체는

지적자산(Intellectual Property)


ip  core

[링크 : http://whatis.techtarget.com/definition/IP-core-intellectual-property-core]

[링크 : https://wiki.kldp.org/HOWTO/html/CPU-Design-HOWTO/ip.html]

[링크 : https://www.intel.co.kr/content/www/kr/ko/fpga/ip-and-design-tools.html]

[링크 : https://www.altera.com/support/support-resources/support-centers/ethernet-support.html]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

망할 지름신님...  (0) 2017.12.14
ASSP - Application-specific standard product  (0) 2017.12.14
fpga bitstream format?  (0) 2017.12.08
FPGA 개론?  (0) 2017.12.07
제조사별 FPGA 로직 게이트 갯수 차이  (0) 2017.12.06
Posted by 구차니
embeded/Cortex-M3 STM2017. 12. 11. 17:57

검색하다 보니 같은 블로그네..

아무튼 STM32에 DMA로는 SPI 정도만 가속하는 정도일려나?


[링크 : https://javakys.wordpress.com/.../stm32f2xx-또는-stm32f4xx에서-spi-dma를-통해-full-duplex-통신-구현하기/]

[링크 : https://javakys.wordpress..../nucleo-stm32f401re에서-spi-dma를-이용해서-w5500-송수신-성능-개선하기/]

[링크 : http://blog.naver.com/gauya/220215460198]


+

lm3s1607도 찾아 보았는데.. UART와 SPI에 대해서만 존재하는 걸로 보인다.

[링크 : http://www.ti.com/lit/ds/symlink/lm3s1607.pdf]


+

DMA는 들어봤지만 직접 구현해본적은 없다 보니 찾아 봐야할 듯..

특정 회로 라인에 물리는게 아닌건가..?

'embeded > Cortex-M3 STM' 카테고리의 다른 글

STM32F103 관련 용어  (0) 2021.02.02
CMSIS for stm32  (0) 2018.02.12
cmsis printf  (0) 2017.01.10
stm32 cortex-m3 api / library  (0) 2015.01.17
stm32f103z ?  (0) 2015.01.10
Posted by 구차니
embeded/Cortex-M3 Ti2017. 12. 11. 16:26

음.. 두개 섹션으로 된 녀석은 아직 발견 못함..

아무튼 이걸 이용해서 부트로더와 프로그램을 합칠 수 있을 거 같은데..

sct는 scatter의 약자라는데.. 왜 이런 용어를...


[링크 : http://www.keil.com/support/man/docs/armlink/armlink_pge1362075656353.htm]

'embeded > Cortex-M3 Ti' 카테고리의 다른 글

cortex m3 어셈블리 bl, cbz  (0) 2018.01.04
cortex-m3 keil 부트로더  (0) 2017.12.21
lm3s 부트로더  (0) 2017.11.21
JTAG / SWD 핀 연결방법 조사..  (0) 2017.04.04
어? 의외로 RX busy는 없네?  (0) 2017.03.27
Posted by 구차니
Programming/VHDL2017. 12. 10. 23:45

VHDL 책 보고 정리중.

나중에 verilog도 한번 봐야겠다.


일단 프로그래머 입장에서 보는 차이라고 해야하나?

연산자가 의외로 많이 다르다 -ㅁ-

 C VHDL 

== 

<= 

!= 

/= 


주석(comment)는 한줄짜리만 있고 여러줄 짜리는 없는 듯 하다.

 C

 VHDL 

 //

 -- 


동작적 모델링 - process() - 순차기술문(sequential)

                     case-when

                     signal - 병행기술문(concurrent) (?)

데이터 흐름 모델링 - when-else

                            with-select-when

구조적 모델링 - component

                      port map 


signal은 내부 회로(entity)간의 연결시 사용

architecture design of vhdl_test is

    signal k : std_logic_vector(2 downto 0)

begin

end 


process는 k의 값이 변할때 마다 수행(트리거?)

process(k)

end

begin


case-when c의 switch-case에 비슷한 구성이고

default:는 when others => null에 대응된다.

case k is

      when "000" =>

              out <= 1;

              out2 <= 2;

      when "000" => D <= "00000001";

      when others => null;

end case;


with-select-when은 모든 조건에 대해서 테스트 해야 한다.

(case-when 처럼 when others가 먹히지 않는 듯)

문장의 끝이 아니라 ;가 아닌 ,로 표시됨에 주의

with s select

    y <= i(0) when "00",

    y <= i(1) when "01",

    y <= i(2) when "10",

    y <= i(3) when "11";


if - elsif - else 이며 위의 연산자에서 보았듯 =는 할당(assign)이 아닌 비교(equal) 이다.

조금 익숙하게(?) elseif 정도는 좀 해주지 -_-

if diff = -2 then

;

elsif diff = -1 then

;

else

;

endif;


동기클럭사용

falling 과 rising edge에 대응하는 if문

-- clk : std_logic;

rising_edge(clk)

if(clk'event and clk='1') then 


falling_edge(clk) 


when-else는 일종의 우선순위를 가지고 위에서 부터 비교해서 가장 위의 것이 실행된다.

(머.. if-else랑 무슨 차이가 있으려나?)

architecture ...

begin

       y <= i(0) when S="00" else

               i(1) when S="01" else

               i(2) when S="10" else

               i(3);


[링크 : http://www.hanbit.co.kr/store/books/look.php?p_code=B5175626637]



+

2017.12.27

:= 는 변수에 할당

<= 는 signal에 할당

=> 는 case 문에 대한 건데.. others => 라고 쓰는 부분들이 있어서 찾아 봐야 할 듯..

[링크 : https://stackoverflow.com/questions/7988098/vhdl-difference-between-and]

'Programming > VHDL' 카테고리의 다른 글

xilinx vivado HLx / HLS  (0) 2017.12.24
VHDL과 verilog  (0) 2017.12.11
VHDL 문법 관련  (0) 2017.12.08
VHDL 문법  (0) 2017.12.07
xilinx fpga with vhdl verilog  (0) 2017.12.02
Posted by 구차니
embeded/FPGA - XILINX2017. 12. 10. 15:39

de0 nano에서 고민중 ㅜㅜ

일단 가장 큰 차이는

DE0-nano는 FPGA만 딸랑있고 LAN이나 USB나 이런거 하나도 없다보니

회로 구성을 해야하니 이래저래 부담인데..


Features:

  • Xilinx Artix-35T FPGA:
    • 33,280 logic cells in 5200 slices (each slice contains four 6-input LUTs and 8 flip-flops);
    • 1,800 Kbits of fast block RAM;
    • Five clock management tiles, each with a phase-locked loop (PLL);
    • 90 DSP slices;
    • Internal clock speeds exceeding 450MHz;
    • On-chip analog-to-digital converter (XADC).
    • Programmable over JTAG and Quad-SPI Flash
  • System Features:
    • 256MB DDR3L with a 16-bit bus @ 667MHz
    • 16MB Quad-SPI Flash
    • USB-JTAG Programming circuitry (USB Micro cable required, NOT INCLUDED). 
    • Powered from USB or any 7V-15V source
  • System Connectivity:
    • 10/100 Mbps Ethernet
    • USB-UART Bridge
  • Interaction and Sensory Devices
    • 4 Switches
    • 4 Buttons
    • 1 Reset Button
    • 4 LEDs
    • 4 RGB LEDs
  • Expansion Connectors:

[링크 : http://store.digilentinc.com/arty-a7-artix-7-fpga-development-board-for-makers-and-hobbyists/]

[링크 : http://www.devicemart.co.kr/1358485] 26만 ㄷㄷㄷ




일단은.. 아래 링크는 먼저 찾은

FPGA에 부트로더와 리눅스 이미지를 합쳐서 굽는 법

그러니까.. Configuration Memory에 가티 올려진다는 의미구만?


Digilent Arty board and Linux - Part 5 - Storing FPGA, Linux and Bootloader into the QSPI Flash

[링크 : http://rdepablos.merlitec.com/Storing-system-into-the-QSPI-Flash]


'embeded > FPGA - XILINX' 카테고리의 다른 글

xilinx bitstram ecryption  (0) 2018.01.23
xilinx artix-7 프로젝트 빌드해봄  (0) 2018.01.07
xilinx vivado / ISE 라이센스 관련  (0) 2017.12.19
xilinx Bitstram Length와 Logic cell  (0) 2017.12.08
reVISION / zynq 웨비나  (0) 2017.11.09
Posted by 구차니