embeded/FPGA - ALTERA2017. 12. 28. 13:00

큭... VHDL 공부 하는데 Verilog 라니.. 비겁하다!!!


// ============================================================================ // Copyright (c) 2011 by Terasic Technologies Inc. // ============================================================================ // // Permission: // // Terasic grants permission to use and modify this code for use // in synthesis for all Terasic Development Boards and Altera Development // Kits made by Terasic. Other use of this code, including the selling // ,duplication, or modification of any portion is strictly prohibited. // // Disclaimer: // // This VHDL/Verilog or C/C++ source code is intended as a design reference // which illustrates how these types of functions can be implemented. // It is the user's responsibility to verify their design for // consistency and functionality through the use of formal // verification methods. Terasic provides no warranty regarding the use // or functionality of this code. // // ============================================================================ // // Terasic Technologies Inc // 356 Fu-Shin E. Rd Sec. 1. JhuBei City, // HsinChu County, Taiwan // 302 // // web: http://www.terasic.com/ // email: support@terasic.com // // ============================================================================ // Major Functions/Design Description: // // Please refer to DE0_Nano_User_manual.pdf in DE0_Nano system CD. // // ============================================================================ // Revision History: // ============================================================================ // Ver.: |Author: |Mod. Date: |Changes Made: // V1.0 |EricChen |02/01/2011 | // ============================================================================ //======================================================= // This code is generated by Terasic System Builder //======================================================= module DE0_NANO( //////////// CLOCK ////////// CLOCK_50, //////////// LED ////////// LED, //////////// KEY ////////// KEY, //////////// SW ////////// SW, //////////// SDRAM ////////// DRAM_ADDR, DRAM_BA, DRAM_CAS_N, DRAM_CKE, DRAM_CLK, DRAM_CS_N, DRAM_DQ, DRAM_DQM, DRAM_RAS_N, DRAM_WE_N, //////////// EPCS ////////// EPCS_ASDO, EPCS_DATA0, EPCS_DCLK, EPCS_NCSO, //////////// Accelerometer and EEPROM ////////// G_SENSOR_CS_N, G_SENSOR_INT, I2C_SCLK, I2C_SDAT, //////////// ADC ////////// ADC_CS_N, ADC_SADDR, ADC_SCLK, ADC_SDAT, //////////// 2x13 GPIO Header ////////// GPIO_2, GPIO_2_IN, //////////// GPIO_0, GPIO_0 connect to GPIO Default ////////// GPIO_0_D, GPIO_0_IN, //////////// GPIO_0, GPIO_1 connect to GPIO Default ////////// GPIO_1_D, GPIO_1_IN, ); //======================================================= // PARAMETER declarations //======================================================= //======================================================= // PORT declarations //======================================================= //////////// CLOCK ////////// input CLOCK_50; //////////// LED ////////// output [7:0] LED; //////////// KEY ////////// input [1:0] KEY; //////////// SW ////////// input [3:0] SW; //////////// SDRAM ////////// output [12:0] DRAM_ADDR; output [1:0] DRAM_BA; output DRAM_CAS_N; output DRAM_CKE; output DRAM_CLK; output DRAM_CS_N; inout [15:0] DRAM_DQ; output [1:0] DRAM_DQM; output DRAM_RAS_N; output DRAM_WE_N; //////////// EPCS ////////// output EPCS_ASDO; input EPCS_DATA0; output EPCS_DCLK; output EPCS_NCSO; //////////// Accelerometer and EEPROM ////////// output G_SENSOR_CS_N; input G_SENSOR_INT; output I2C_SCLK; inout I2C_SDAT; //////////// ADC ////////// output ADC_CS_N; output ADC_SADDR; output ADC_SCLK; input ADC_SDAT; //////////// 2x13 GPIO Header ////////// inout [12:0] GPIO_2; input [2:0] GPIO_2_IN; //////////// GPIO_0, GPIO_0 connect to GPIO Default ////////// inout [33:0] GPIO_0_D; input [1:0] GPIO_0_IN; //////////// GPIO_0, GPIO_1 connect to GPIO Default ////////// inout [33:0] GPIO_1_D; input [1:0] GPIO_1_IN; //======================================================= // REG/WIRE declarations //======================================================= wire reset_n; reg [26:0] counter; reg [5:0] PWM_adj; reg [6:0] PWM_width; reg [7:0] LED; //======================================================= // Structural coding //======================================================= assign reset_n = KEY[0]; always @(posedge CLOCK_50 or negedge reset_n) begin if(!reset_n) begin counter <= 0; LED[0] <= 0; end else begin counter <= counter+1; PWM_width <= PWM_width[5:0]+ PWM_adj; if(counter[26]) begin PWM_adj <= counter[25:20]; end else begin PWM_adj <= ~ counter[25:20]; end LED[0] <= ~PWM_width[6]; LED[1] <= ~PWM_width[6]; LED[2] <= ~PWM_width[6]; LED[3] <= ~PWM_width[6]; LED[4] <= PWM_width[6]; LED[5] <= PWM_width[6]; LED[6] <= PWM_width[6]; LED[7] <= PWM_width[6]; end end endmodule 


일단.. 눈에 들어오는 키워드는

begin - end 구조 동일해 보이고..

postedge CLOCK_50 으로 50Mhz OSC입력을 positive edge 니까.. rising edge일려나?

negedge reset_n 이니까.. KEY_0를 RESET_N으로 맵핑했고(작동이 그러니까..)

그게 falling edge로 잡히면 트리거 되서 작동하는데

!reset_n이면 카운터와 LED[0]을 0으로 각각 셋팅(LED 0번만 끄고 counter를 0으로 설정)

reset_n이면

카운터(27비트 = 134,217,728)를 증가하면서 PWM_Width 값을 더해주는데

카운터의 MSB가 1이 되면 (67,108,864 초과) counter의 25~20번째 비트를 복사하고

0이면 counter의 25~20번째 비트를 negate 시켜서 (그러니까 감소) 복사한다.

그래서 LED[0:7] 에 PWM_width를 입력해 주는데

가장 상위 비트만 출력함으로서

0과 1을 50Mhz에 연동해서 빠르게 on/off 함으로서 PWM을 구현하게 되는건가?



+

posedge means the transition from 0 to 1

negedge the oposit transition from 1 to 0

그러니까.. posedge는 rising edge고, negedge는 falling edge가 맞겠네?

[링크 : http://www.alteraforum.com/forum/showthread.php?t=19611]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

de0-nano + rpi = 채굴머신..  (0) 2017.12.29
de0-nano 기본 예제 올려봄  (0) 2017.12.29
terasic DE0-Nano 부품들  (0) 2017.12.27
quartus2 설치 + usb blaster 설치  (0) 2017.12.27
de0-nano LED 예제  (0) 2017.12.27
Posted by 구차니
embeded/Cortex-M4 Ti2017. 12. 28. 11:33

ICDI로 설정하고 일단은

부트로더 올리고

LM Flash 통해서 0x1000 에 시작 주소가 0x1000으로 바꾼 qs-rgb를 올리니

(Erase Method를 아무생각없이 Entire로 해서 몇번 고생..)

부트로더 안멈추고 바로 qs-rgb로 시작하는데..

부트로더에서 몇 초 기다리게는 못하려나?


올리고 나서 검증을 위해 Flash Utilties에서 부분 삭제를 해보니

부트로더에서 LED 흰색으로 켜지게 해준거 나오는거 봐서..

부팅이 진행가능하면 바로 qs-rgb로 넘어 가는거 같네...

수정한 내용

0x00000000 -> 0x00001000

;******************************************************************************

;

; qs-rgb.sct - Linker configuration file for qs-rgb.

;

; Copyright (c) 2012-2016 Texas Instruments Incorporated.  All rights reserved.

; Software License Agreement

; Texas Instruments (TI) is supplying this software for use solely and

; exclusively on TI's microcontroller products. The software is owned by

; TI and/or its suppliers, and is protected under applicable copyright

; laws. You may not combine this software with "viral" open-source

; software in order to form a larger program.

; THIS SOFTWARE IS PROVIDED "AS IS" AND WITH ALL FAULTS.

; NO WARRANTIES, WHETHER EXPRESS, IMPLIED OR STATUTORY, INCLUDING, BUT

; NOT LIMITED TO, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR

; A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. TI SHALL NOT, UNDER ANY

; CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR CONSEQUENTIAL

; DAMAGES, FOR ANY REASON WHATSOEVER.

; This is part of revision 2.1.3.156 of the EK-TM4C123GXL Firmware Package.

;

;******************************************************************************


LR_IROM 0x00001000 0x00040000

{

    ;

    ; Specify the Execution Address of the code and the size.

    ;

    ER_IROM 0x00001000 0x00040000

    {

        *.o (RESET, +First)

        * (InRoot$$Sections, +RO)

    }


    ;

    ; Specify the Execution Address of the data area.

    ;

    RW_IRAM 0x20000000 0x00008000

    {

        ;

        ; Uncomment the following line in order to use IntRegister().

        ;

        ;* (vtable, +First)

        * (+RW, +ZI)

    }


+

//*****************************************************************************

//

// Allows an application to perform in-place data decryption during download.

//

// If hooked, this function will be called on receipt of any new block of

// downloaded firmware image data.  The application must decrypt this data

// in place then return at which point the boot loader will write the data to

// flash.

//

// void MyDecryptionFunc(unsigned char *pucBuffer, unsigned long ulSize);

//

// where:

//

// - pucBuffer points to the first byte of data to be decrypted.

// - ulSize indicates the number of bytes of data at pucBuffer.

//

//*****************************************************************************

//#define BL_DECRYPT_FN_HOOK      MyDecryptionFunc 


bl_check.c 소스에서

사용자 함수 확인 부분과 시작 어드레스(기본값은 0x00001000) 에서

바이너리가 존재할 경우 확인하는 부분

uint32_t

CheckForceUpdate(void)

{

#ifdef CHECK_CRC

    uint32_t ui32Retcode;

#endif


#ifdef BL_CHECK_UPDATE_FN_HOOK

    //

    // If the update check function is hooked, call the application to determine

    // how to proceed.

    //

    return(BL_CHECK_UPDATE_FN_HOOK());

#else

    uint32_t *pui32App;


#ifdef ENABLE_UPDATE_CHECK

    g_ui32Forced = 0;

#endif


    //

    // See if the first location is 0xfffffffff or something that does not

    // look like a stack pointer, or if the second location is 0xffffffff or

    // something that does not look like a reset vector.

    //

    pui32App = (uint32_t *)APP_START_ADDRESS;

    if((pui32App[0] == 0xffffffff) ||

       ((pui32App[0] & 0xfff00000) != 0x20000000) ||

       (pui32App[1] == 0xffffffff) ||

       ((pui32App[1] & 0xfff00001) != 0x00000001))

    {

        return(1);

    }

...


빌드된 바이너리 파일을 보면 0x20000df8 이라고 해야하나? 아무튼 이 정보를 가지고 비교하는 듯


Posted by 구차니
embeded/DSP C2000 Ti2017. 12. 28. 09:21

가격이 매너가 없네...

XDS200 이상을 사용하면 될거 같긴한데

호환제품도 드럽게 비싸서.. wiggler 처럼 패러럴 쓰는 염가형은 없나?


[링크 : http://www.tms320.co.kr/shop/goods/goods_view.php?&goodsno=200903031]

[링크 : http://www.tms320.co.kr/shop/goods/goods_view.php?&goodsno=200903075]


TMS320F2808

F280x 시리즈

F2808: 64K x 16 Flash, 18K x 16 SARAM

C28x 계열 아키텍쳐?


5 Device Support

Texas Instruments (TI) offers an extensive line of development tools for the C28x™ generation of DSPs,

including tools to evaluate the performance of the processors, generate code, develop algorithm

implementations, and fully integrate and debug software and hardware modules.

The following products support development of 280x-based applications:

Software Development Tools

• Code Composer Studio™ Integrated Development Environment (IDE)

– C/C++ Compiler

– Code generation tools

– Assembler/Linker

– Cycle Accurate Simulator

• Application algorithms

• Sample applications code

Hardware Development Tools

• 2808 eZdsp™

• Evaluation modules

• JTAG-based emulators - SPI515, XDS510PP, XDS510PP Plus, XDS510USB

• Universal 5-V dc power supply

• Documentation and cables 

[링크 : http://www.ti.com/lit/ds/symlink/tms320f2809.pdf]


XDS 100v2 한 9만원은 생각해야 하네... ㄷㄷ

[링크 : http://eleparts.co.kr/EPX3FY3P] XDS100v2

[링크 : http://eleparts.co.kr/EPX7X37M] XDS100v3

[링크 : http://eleparts.co.kr/EPX86FR7] XDS100v3

[링크 : http://processors.wiki.ti.com/index.php/XDS100]

'embeded > DSP C2000 Ti' 카테고리의 다른 글

CCSv6 설치 시도... 실패 ㅠㅠ  (0) 2018.02.09
Code Composer Studio - CCS  (0) 2018.02.09
xds510usb xds510pp  (0) 2018.02.09
MCU와 DSP 차이점  (0) 2017.12.29
TI TMS320DM368용 JTAG  (0) 2013.07.03
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 27. 21:40

ALTERA EP4C EP4CE22F17C6N Cyclone IV - U1

[링크 : https://www.altera.com/.../global/en_US/pdfs/literature/hb/cyclone-iv/cyiv-51001.pdf


SPANSION FL064PIF 64MBit(8MB) 3.0V SPI FLASH - U9 - EPCS64

악의적이라고 해야하나? 메뉴얼에는 단위가 통일되어 있지 않다.

[링크 : http://www.cypress.com/file/196856/download]


ISSI IS42S16160G-7TLI 256Mb(32MB) SDRAM - U5

[링크 : http://www.issi.com/WW/pdf/42-45S83200G-16160G.pdf]


microchip 24LC02B 2K i2c eeprom - U6

얜... 용도가 멀까? i2c 테스트용?

I2C address 0xA0

[링크 : http://ww1.microchip.com/downloads/en/DeviceDoc/21709c.pdf]


Ti SN74AUC17 슈미트 트리거 버퍼(스위치용) - U2

[링크 : http://www.ti.com/general/docs/lit/getliterature.tsp?genericPartNumber=SN74AUC17&fileType=pdf]


ADXL345 디지털 가속도계 - U3

[링크 : http://www.analog.com/media/en/technical-documentation/data-sheets/ADXL345.pdf


Ti ADC128S022 8ch, 50kSPS~200kSPS, 12bit ADC - U4

[링크 : http://www.ti.com/general/docs/lit/...genericPartNumber=ADC128S022...pdf


LDO

[링크 : http://www.ti.com/lit/ds/symlink/lp5900.pdf] 3.3V / 1.2V

[링크 : http://www.ti.com/lit/ds/symlink/lp38500-adj.pdf] 2.5V


---

아래 두개는 schematic에서 누락된 부분. USB Blaster 구성품으로 추측된다.


ALTERA EPM240M100C4N MAX II 시리즈 - U8

용도불명 -ㅁ-

[링크 : https://www.altera.com/en_US/pdfs/literature/dp/max2/epm240z.pdf]


FDTI FT245BL - U7

USB to Parallel?

[링크 : http://www.ftdichip.com/Support/Documents/DataSheets/ICs/DS_FT245BL.pdf]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

de0-nano 기본 예제 올려봄  (0) 2017.12.29
DE0-nano 기본 프로그램 분석  (0) 2017.12.28
quartus2 설치 + usb blaster 설치  (0) 2017.12.27
de0-nano LED 예제  (0) 2017.12.27
altera 교육자료 요약  (0) 2017.12.27
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 27. 20:48

Quartus Lite 17.1.0.590을 받으면서

ModelSim 도 같이 받았는데 음.. Quartus에 일정부분은 포함되어 있나 보네

그리고 Cyclone IV만 포함되어 있는데.. 내가 선택한건가? 왜 이거 뿐이지?



다 깔고나서 USB Blaster 2 설치하도록 하네..


Altera(WinUSB) JTAG cables라는 이름으로 심심하게(?) 깔린다.


근데 얘는 다른거고.. DE0-nano는 usb blaster라 인식을 안하니까

quartus 2 기본값 설치시 C:\intelFPGA_lite\17.1\quartus\drivers 하위에 드라이버가 존재하고

USB Blaster를 통해 설치하면 된다.

[링크 : https://www.altera.com/support/support-resources/download/drivers/usb-blaster/dri-usb-blaster-vista.html]


+

2017.12.28

tersaic de0-nano\Demonstration\EPCS_Patch\nios2-flash-override.txt

위의 파일은

C:\intelFPGA_lite\17.1\nios2eds\bin 에 복사해주면 된다고 함.

[링크 : https://blog.naver.com/acidc/220616936189]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

DE0-nano 기본 프로그램 분석  (0) 2017.12.28
terasic DE0-Nano 부품들  (0) 2017.12.27
de0-nano LED 예제  (0) 2017.12.27
altera 교육자료 요약  (0) 2017.12.27
GHDL - 시뮬레이터  (0) 2017.12.26
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 27. 13:48

카페자료 퍼옴..

그나저나 이거 언제 해보려나..


VHDL 코드나 일단 한줄한줄 분석해보고

글 쓰신분에게 코드 복사해가도 되나 물어 봐야겠다..


[링크 : http://cafe.naver.com/alteratown/6574]



syntax highlight(notepad++) 하니 굳이 주석 안달아도 될 느낌?

library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; entity led_top is Port ( p_clk_50Mhz : in std_logic; p_button : in std_logic_vector( 1 downto 0 ); p_led_out : out std_logic ); end led_top; architecture Behavioral of led_top is signal s_reset : std_logic; signal s_clk_50Mhz_cnt : std_logic_vector( 15 downto 0 ); signal s_clk_1Khz : std_logic := '0'; signal s_clk_1Khz_cnt : std_logic_vector( 15 downto 0 ); begin -- reset 신호 생성 s_reset <= not p_button(0); -- 분주 타이머 process( s_reset, p_clk_50Mhz ) is begin if rising_edge( p_clk_50Mhz ) then if s_reset = '1' then s_clk_50Mhz_cnt <= ( others => '0' ); else if s_clk_50Mhz_cnt = (50000-1) then s_clk_1Khz <= not s_clk_1Khz; s_clk_50Mhz_cnt <= ( others => '0' ); else s_clk_50Mhz_cnt <= s_clk_50Mhz_cnt + 1; end if; end if; end if; end process; process( s_reset, s_clk_1Khz ) is begin if rising_edge( s_clk_1Khz ) then if s_reset = '1' then s_clk_1Khz_cnt <= ( others => '0' ); else s_clk_1Khz_cnt <= s_clk_1Khz_cnt + 1; end if; end if; end process; p_led_out <= s_clk_1Khz_cnt(7);  

end Behavioral; 


'embeded > FPGA - ALTERA' 카테고리의 다른 글

terasic DE0-Nano 부품들  (0) 2017.12.27
quartus2 설치 + usb blaster 설치  (0) 2017.12.27
altera 교육자료 요약  (0) 2017.12.27
GHDL - 시뮬레이터  (0) 2017.12.26
c to HDL ㄷㄷㄷ  (0) 2017.12.22
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 27. 09:00

모 카페에 가입해서 받은 내용정리


개발흐름

ModelSim - RTL Simulation

Quartus2 - Synthesis / Place & Route, Timimg analysis

ModelSim - Timing Simulation


ModelSim 2000달러.. ㄷㄷㄷ

[링크 : https://www.altera.com/products/design-software/model---simulation/modelsim-altera-software.html]

Mentor 사의 프로그램인데 altera quartos에 통합한 듯

[링크 : https://en.wikipedia.org/wiki/ModelSim]



MAX 시리즈 - CPLD

Stratix 시리즈 - 고밀도, 고성능 (2002)

Cyclone 시리즈 - Lost Cost... (2003)

Arria 시리즈 - Low Cost 트랜시버

Hardcopy 시리즈 - ASIC

(고성능 버전 만들고 염가형을 만드는게 당연한거였나?)


ALTERA Cyclone 시리즈

Cyclone 1은 NIOS, DDR

Cyclone 2 부터 NIOS2, DDR2 지원

Cyclone V 부터 DDR3

[링크 : https://www.altera.com/en_US/pdfs/literature/hb/cyclone-v/cv_51001.pdf]


(음? 전부 LUT 기반인줄 알았는에 아니었나?)

Product Term Based Building Blocks

- EEPROM 기반 - 비휘발성

- XOR 게이트 (합성용)

- 프로그래머블 AND, 고정 OR 어레이


LUT Based Building Blocks

- SRAM 기반, 휘발성 (CPLD는 비휘발성)

- LUT를 통해 원하는 기능 구현 가능(n-Input AND, n-Input XOR)


칩 구조에서 M512 M4K/M9K 이런게 보여서 검색

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ug/ug_ram.pdf]


RTL

단순용어와는 다르게 RTL 이라고 표현하면 대개 HDL 언어로 기술된걸 의미하는 듯

[링크 : https://en.wikipedia.org/wiki/Register-transfer_level]


Qsys : SOPC builder

- SoPC Builder (System on a Programmable Chip Builder) 

SOPC Builder, a tool in Quartus II software that eliminates manual system integration tasks by automatically generating interconnect logic and creating a testbench to verify functionality

Qsys, a system-integration tool that is the next generation of SOPC Builder. It uses an FPGA-optimized network-on-chip architecture that doubles the fMAX performance vs. SOPC Builder. 

[링크 : https://en.wikipedia.org/wiki/Altera_Quartus]


'embeded > FPGA - ALTERA' 카테고리의 다른 글

quartus2 설치 + usb blaster 설치  (0) 2017.12.27
de0-nano LED 예제  (0) 2017.12.27
GHDL - 시뮬레이터  (0) 2017.12.26
c to HDL ㄷㄷㄷ  (0) 2017.12.22
FPGA IP 관련..  (0) 2017.12.22
Posted by 구차니
embeded/Cortex-M4 Ti2017. 12. 26. 16:25

받아둔지 좀 오래된 녀석이라 구버전일거 같은데

우여곡절 끝에 일단 keil 에서 빌드'만' 성공 -_-


보드는 ek-tm4c132gxl

소스는 C:\ti\TivaWare_C_Series-2.1.3.156\boot_loader

프로젝트 파일은 C:\ti\TivaWare_C_Series-2.1.3.156\examples\project


프로젝트 속성 - User - Run User Program Before Build/Rebuild에 아래 항목 추가

armcc --device DLM -o bl_config.inc -E ..\..\boot_loader\bl_config.c 


프로젝트 속성 - C/C++ - Preprocessor Symbols에 아래 항목 추가

UART_ENABLE_UPDATE UART_AUTOBAUD  

(위에껀 bl_config.h에 선언된 내용들 골라서 사용)


프로젝트 속성 - Linker - Scatter File 을 아래항목으로 수정

..\..\boot_loader\bl_link.sct 


빌드는 되고, 펌웨어 올려도 봤는데..

LM Flash Progammer랑 되질 않네.. 으으으 ㅠㅠ


+

__main 관련해서 검색하다 나온 녀석.. 책 한권 살까..

[링크 : http://recipes.egloos.com/5044366]


+

2017.12.27

한두개 define 열어줄게 아니라 bl_config.h 에서 여러개를 한번에 해주어야 한다.

//*****************************************************************************

//

// Selects the UART as the port for communicating with the boot loader.

//

// Depends on: None

// Exclusive of: CAN_ENABLE_UPDATE, ENET_ENABLE_UPDATE, I2C_ENABLE_UPDATE,

//               SSI_ENABLE_UPDATE, USB_ENABLE_UPDATE

// Requires: UART_AUTOBAUD or UART_FIXED_BAUDRATE, UART_CLOCK_ENABLE

//           UARTx_BASE, UART_RXPIN_CLOCK_ENABLE, UART_RXPIN_BASE

//           UART_RXPIN_PCTL, UART_RXPIN_POS, UART_TXPIN_CLOCK_ENABLE,

//           UART_TXPIN_BASE, UART_TXPIN_PCTL and UART_TXPIN_POS

//

//***************************************************************************** 

#define UART_ENABLE_UPDATE


Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 26. 14:39

혹시나 해서 오픈소스로 bitstream 파일을 뱉어내는 vhdl 컴파일러(?)가 있는지 찾아 보는데

아무래도 업체 종속적이다 보니 합성은 안되고

시뮬레이션 정도만 되는게 한계인 듯..


[링크 : http://ghdl.free.fr/]

[링크 : https://github.com/ghdl/ghdl]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

de0-nano LED 예제  (0) 2017.12.27
altera 교육자료 요약  (0) 2017.12.27
c to HDL ㄷㄷㄷ  (0) 2017.12.22
FPGA IP 관련..  (0) 2017.12.22
염가형(?) FPGA evaluation 보드  (0) 2017.12.20
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 22. 17:42

'embeded > FPGA - ALTERA' 카테고리의 다른 글

altera 교육자료 요약  (0) 2017.12.27
GHDL - 시뮬레이터  (0) 2017.12.26
FPGA IP 관련..  (0) 2017.12.22
염가형(?) FPGA evaluation 보드  (0) 2017.12.20
altera quartus 라이센스 관련  (0) 2017.12.19
Posted by 구차니