embeded/FPGA - XILINX2017. 12. 8. 22:30


KU025/KU035/KU040은 Configuration Flash Memory가 128Mb로 동일하다(16MB)

[링크 : https://www.xilinx.com/.../ug570-ultrascale-configuration.pdf]


하지만 로직 셀의 갯수는 318/444/530 으로 점점 커지는데..

편의상 세개를 하나의 패밀리로 동일 용량을 쓰도록 정책적으로 하는 걸려나?

아무튼.. 128,055,264 bit 는 16006908 Byte 이고 15631KB이고 15.26MB 이다.

16MB는 134,217,728bit

가장 많은 KU040을 기준으로 보면 530K 로직셀이고

128,055,264 / 530,000를 하면 241.6 bit 가 평균으로 나온다.

로직셀 하나당 약 30Byte의 설정이 필요한건가?

[링크 : https://www.xilinx.com/.../ultrascale-fpga-product-selection-guide.pdf]


vertex-5 시리즈를 먼저 찾았는데 계산하기가 용이하지 않아서 일단 패스~

[링크 : http://web.cecs.pdx.edu/~greenwd/fprog.pdf]

[링크 : https://www.xilinx.com/support/documentation/data_sheets/ds100.pdf]

'embeded > FPGA - XILINX' 카테고리의 다른 글

xilinx bitstram ecryption  (0) 2018.01.23
xilinx artix-7 프로젝트 빌드해봄  (0) 2018.01.07
xilinx vivado / ISE 라이센스 관련  (0) 2017.12.19
digilent Arty A7  (0) 2017.12.10
reVISION / zynq 웨비나  (0) 2017.11.09
Posted by 구차니

먼진 몰라도 시간 제한으로 준다니

후다닥 리딤!



[링크 : https://www.humblebundle.com/store/homefront?linkID=&mcID=...utm_content=Banner]

Posted by 구차니
Programming/VHDL2017. 12. 8. 13:19

음.. 이래서 ieee1164 라고 쓰여진거였나 보군...

1364의 경웅는 verilog 추가이고.. 1164 까지는 VHDL 인 듯



[링크 : http://www.micc.unifi.it/seidenari/wp-content/uploads/2010/01/vhdl.pdf]

[링크 : http://www.ics.uci.edu/~jmoorkan/vhdlref/Synario%20VHDL%20Manual.pdf]

[링크 : http://www.srmuniv.ac.in/ramapuram/sites/ramapuram/files/EC308.pdf]

'Programming > VHDL' 카테고리의 다른 글

VHDL과 verilog  (0) 2017.12.11
VHDL 문법 공부중 1  (0) 2017.12.10
VHDL 문법  (0) 2017.12.07
xilinx fpga with vhdl verilog  (0) 2017.12.02
FPGA / CPLD 차이..?  (0) 2017.11.09
Posted by 구차니
Programming/WPF2017. 12. 8. 10:18

IE 에서 WPF 프로그램이 돌아간다길래

한번 해보려는데 그냥 복붙으로는 안되는 무언가가 많은 듯..


일단 IE는 11버전을 쓰고 있는데

<Page xmlns="http://schemas.microsoft.com/winfx/2006/xaml/presentation">

  <!-- Page Content -->

  Hello, Page!

</Page>

[링크 : https://msdn.microsoft.com/ko-kr/library/ms750478(v=vs.110).aspx]


위의 녀석을 복/붙 하면 Hello World 하나만 딱 찍힌다.

먼가 수정을 하거나 Page가 아닌 Window로 시작하는걸 복사해서 해보면

<Window xmlns='http://schemas.microsoft.com/winfx/2006/xaml/presentation' Title='Hello World!'>

<Button>Hello World!</Button>

</Window>

권한오류부터 난리가 나는데

실패한 작업:

Demand

실패한 첫 번째 권한 형식:

System.Security.Permissions.SecurityPermission

실패한 첫 번째 권한:

<IPermission class="System.Security.Permissions.SecurityPermission, mscorlib, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089"

version="1"

Flags="UnmanagedCode"/>


요청 내용:

<IPermission class="System.Security.Permissions.SecurityPermission, mscorlib, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089"

version="1"

Flags="UnmanagedCode"/> 

[링크 : http://www.kyobobook.co.kr/product/detailViewKor.laf?barcode=9788956744261] 에센셜 WPF


아무튼. Button 하나만 있는 녀석은 잘되는데 두개 하면

<Page xmlns="http://schemas.microsoft.com/winfx/2006/xaml/presentation">

<Button>Navigate to Another Page</Button>

<Button>Navigate to Another Page</Button>

</Page> 


별별 희한한 오류가 넘쳐난다. 도대체 무슨 구조인거야 ㅠㅠ

System.Windows.Markup.XamlParseException: 'Page'에 이미 자식이 있으며 'Button'을(를) 추가할 수 없습니다. 'Page'에서는 하나의 자식만 허용됩니다. '5' 줄 '9' 위치입니다.

---> System.InvalidOperationException: 'Page'에 이미 자식이 있으며 'Button'을(를) 추가할 수 없습니다. 'Page'에서는 하나의 자식만 허용됩니다. 


'Programming > WPF' 카테고리의 다른 글

WPF 예제  (0) 2020.09.07
uwp winform wpf  (0) 2020.09.04
mfc winform wpf 와 .net framework  (0) 2017.12.02
Posted by 구차니
개소리 왈왈/독서2017. 12. 8. 09:37

현재 거주중인 지역의 도서관의 희망도서 규정인데...

불만인건.. 권당 3만원 제한과 원서

그리고 컴퓨터/과학 등의 자료는 2년 이내...


아놔.. 왜 컴퓨터 과학은 옛날 책이 더 좋은데 왜왜왜! 2년 제한이냐고 ㅠㅠ

그리고 책값이 드럽게 비싸고 원서가 더 좋은데 왜!!! ㅠㅠ


3.1일부터 희망도서 신청 규정이 변경됨을 알려드립니다.

oo시도서관 희망도서신청 규정 알림

  • 희망도서 구입제외도서
    • 1. 우리도서관이 소장하고 있거나 정기구입 자료에 포함된 자료
    • 2. 비도서자료, 연속간행물(일간지, 주간지등) 및 수험서, 문제집
    • 3. 5권 이상의 전집류, 전공서적, 1권당 3만원 이상의 고가서적, 원서
       * 단, 3만원 이상의 고가서적은 선별하여 신간도서로 구입하겠습니다.
    • 4. 만화/판타지/무협지/로맨스 소설 등
    • 5. 등급이 18세 이상의 성인자료
    • 6. 통상적인 형태가 아닌 자료(너무 크거나 작은 자료, 스프링 제본 도서 등)
    • 7. 출간된 지 5년 이상 된 자료(컴퓨터/과학 등의 자료는 2년 이내)
    • 8. 기타 도서관에 소장이 부적합하다고 판단되는 자료 등
  • 주의사항
    • 1. 월별 회원 당 oo시도서관 전체에서 최대 5권까지
       → 가족대표자에 한함
    • 2. 입수된 희망도서는 희망자에게 개별 통보 후 3일 이내 우선 대출됨
       → 신청한 희망도서를 3일내 대출하지 않은 이력이 2회 이상인 경우 3개월간 신청 제한
    • 3. 3일이 지난 후 희망자가 대출하지 않을 경우 각 자료실 서가에 비치 


Posted by 구차니
분류가 모호한 글2017. 12. 8. 09:34

도로공사에서 제공할줄알았는데

다른데서 알려주는 구만..


[링크 : http://its.go.kr/traffic/accident.do]

[링크 : http://its.go.kr/traffic/control.do]

'분류가 모호한 글' 카테고리의 다른 글

intel FreeD  (0) 2018.03.19
photoscape win10에서 블루스크린 문제  (0) 2017.12.23
변증법/ 유물론  (0) 2017.10.16
보청기 관련 원리/프로그램 글  (0) 2017.07.07
어엌ㅋㅋㅋ 혈당측정 검사지 ㅋㅋㅋ  (0) 2017.06.06
Posted by 구차니
Programming/VHDL2017. 12. 7. 23:11

뜬금없이 공부중!

일단.. 기본적인 문법은 아래와 같다.

대충 보면.. c++ 이나 begin-end 나오는 VB 같기도 하고..


cortex-m3로 따지면 

entity는 입출력 방향과 드라이버 모드 및 핀의 갯수를 설정하고

architecture 에서 해당 entity의(함수?) 내용을(함수 구현) 기재한다.



VHDL을 이용한 FPGA 디지털 설계 실급부터 응용까지

[링크 : http://www.kyobobook.co.kr/product/detailViewKor.laf?barcode=9788979146707]


1.2 기본 논리 게이트의 VHDL 설계

library ieee;

use ieee.std_logic_1164.all; entity AndOr_vhdl is port( a,b : in std_logic; and_out, or_out, not_out : out std_logic); end AndOr_whdl; architecture design of AndOr_vhdl is begin and_out <= a and b; or_out <= a or b; not_out <= not a; end design;


+

[링크 : https://www.altera.com/support/support-resources/design-examples/design-software/vhdl.html]


의외로(?) if - else, switch - case 같은 제어는 보이는데 

for,while 루프는 존재하지 않네(너무 C언어 개발자 티내나? -ㅁ-)

[링크 : http://webdocs.cs.ualberta.ca/~amaral/courses/329/labs/VHDL_Reference.html]

'Programming > VHDL' 카테고리의 다른 글

VHDL 문법 공부중 1  (0) 2017.12.10
VHDL 문법 관련  (0) 2017.12.08
xilinx fpga with vhdl verilog  (0) 2017.12.02
FPGA / CPLD 차이..?  (0) 2017.11.09
CPLD, FPGA  (0) 2009.12.17
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 7. 18:15

읽어볼만한 내용인것 같아서 링크!

[링크 : http://fpga.tistory.com/28]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

FPGA IP?  (0) 2017.12.11
fpga bitstream format?  (0) 2017.12.08
제조사별 FPGA 로직 게이트 갯수 차이  (0) 2017.12.06
altera fpga 라인업  (0) 2017.12.06
de0-nano / nios2  (0) 2017.12.06
Posted by 구차니
Programming/C Win32 MFC2017. 12. 7. 14:41

아.. 정리를 안해놨던가?


LPCSTR - LP Const STRing

LPCTSTR - LP Const Tchar STRing

LPCWSTR - LP Const Wchar STRing

[링크 : http://pelican7.egloos.com/v/1768951]


typedef wchar_t WCHAR;    // wc,   16-bit UNICODE character

typedef WCHAR TCHAR, *PTCHAR;


일단.. 시리얼 통신 할때는 대개 1byte로 통신을 하니까

아무생각 없이.. 인터넷상에서 굴러 다니는 MyComm.cpp를 주워서 쓰면

두개가 혼용되어 있어서 데이터가 이상하게 꼬이는 수가 발생한다.

int Receive(LPSTR inbuf, int len);

BOOL Send(LPCTSTR outbuf, int len); 

[링크 : http://forum.falinux.com/zbxe/index.php?document_srl=572404]


아무튼 되도록이면 둘다 LPCSTR로 쓰는게 상책!

Posted by 구차니
embeded/ARM2017. 12. 6. 23:33

느낌으로는.. target으로 여러개 만들어서 프로젝트에 -D 옵션 줘서 준비해놓고

batch build 해야 할 느낌..

그게 아니라면 직접 armcc 를 이용해서 커맨드 라인에서 빌드하고(proj 파일 도움없이)

결과를 내야 할 느낌..


[링크 : http://www.keil.com/support/man/docs/uv4/uv4_dg_adscc.htm]

[링크 : http://www.keil.com/support/docs/868.htm]

[링크 : http://www.keil.com/support/man/docs/uv4/uv4_commandline.htm]

[링크 : http://www.keil.com/support/man/docs/uv4/uv4_dg_batchbuild.htm]

    [링크 : http://www.keil.com/support/man/docs/uv4/uv4_ca_batchbuildmultiprojectfile.htm]


[링크 : http://www.keil.com/support/man/docs/armcc/armcc_chr1359124194749.htm] armcc 옵션

[링크 : http://www.keil.com/support/man/docs/armcc/armcc_chr1359124909314.htm] -D 옵션

'embeded > ARM' 카테고리의 다른 글

ARM MPMC(multiport memory controller)  (0) 2018.03.19
AMBA AXI  (0) 2018.01.30
카드크기 컴퓨터 벤치마크  (0) 2016.09.04
JTAG ETM  (0) 2016.09.02
j-link segger j-flash  (0) 2016.09.02
Posted by 구차니