embeded/FPGA - ALTERA2018. 6. 15. 16:53

이런 저런 방법이 있는데 어떻게 쓰는건지 감이 1도 안오네..

[링크 : http://www.newtc.co.kr/download/FB-CY6/NTC_FPGA_강좌_02_로직_시뮬레이터_사용법.pdf]


waveform 어쩌구 통해서 시뮬레이션에 쓸 문장을 생성하는 듯?

[링크 : https://youtu.be/uSGsQjXWnXk?t=420]


waveform editor

[링크 : https://www.altera.co.jp/ja_JP/pdfs/literature/hb/qts/qts_qii53017.pdf]

어쩌다 먼가 된거 같긴한데 안된건가? ㅠㅠ


[링크 : http://www.ednc.com/wp/wp-content/uploads/2015/06/modelsim_questa_10.4_tutorial.pdf]


How do I generate clock in Verilog ?

There are many ways to generate clock in Verilog; you could use one of the following methods:


Method #1

 1 initial begin

 2  clk = 0;

 3 end

 4    

 5 always begin

 6    #5  clk = ~clk;

 7 

 8 end

You could download file clock_always.v here

 

Method #2

 1 initial begin

 2   clk = 0;

 3   forever begin

 4      #5  clk = ~clk;

 5   end

 6 end

You could download file clock_forever.v here


Method #3

 1 initial begin

 2   clk = 0;

 3 end

 4 

 5 always begin

 6    #5  clk = 0;

 7    #5  clk = 1;

 8 end 

[링크 : http://www.asic-world.com/verilog/verifaq2.html]


+

2018.06.18

하다 보니.. 클럭 연결을 할게 아니라

# 명령중 반복을 통해서 특정 시간대에 클럭처럼 신호를 발생시키도록 해야

시뮬레이션에서 정상적으로 계산이 가능 한 듯?


음.. #5 하면.. 100Mhz로 작동하는건가?



'embeded > FPGA - ALTERA' 카테고리의 다른 글

modelsim과 timescale 그리고 50Mhz  (0) 2018.06.18
modelsim nativelink  (0) 2018.06.18
intel FPGA를 이용한 Processor Logic 설계입문 관련 링크  (0) 2018.06.15
quartus 2 qar file  (0) 2018.06.11
HDMI de0-nano  (0) 2018.06.05
Posted by 구차니

usec 단위로 했었는데.. Hysnc의 길이가 왜.. 3.77usec?

아무튼.. 다시 아래 문서대로 계산을 해보니 값이 좀 많이 다르게 나온다.

vga_640x480.xlsx

2018/06/05 - [모종의 음모/DE0-Nano VGA] - vga 640x480 실패중 그리고 800x600x60


---

VESA DMT 문서에 의하면(공식인지 모르겠지만)



흐음.. 여전히 안되네...

module vga640x480(

input clk,

input rst,

output [7:0] LED,

output hsync,

output vsync,

output [3:0] r,

output [3:0] g,

output [3:0] b

);


parameter HSYNC = 190;

parameter HBP = (190 + 96);

parameter HVID = (190 + 96 + 1271);

parameter HFP = (190 + 96 + 1271 + 32);

parameter HTOTAL = 1589;


parameter VSYNC = 3200;

parameter VBP = (3200 + 52400);

parameter VVID = (3200 + 52400 + 762650);

parameter VFP = (3200 + 52400 + 762650 + 15900);

parameter VTOTAL = 834150;


reg [19:0] cnt;


always @ (posedge clk or negedge rst)

begin

if (~rst)

begin

cnt <= 0;

end

else

begin

if(cnt < VTOTAL)

begin

cnt <= cnt + 1;

/*

if((cnt % HTOTAL) < HSYNC)

hsync <= 0;

else hsync <= 1;

if(cnt < VSYNC)

vsync <= 0;

else vsync <= 1;


if(vsync & hsync)

begin

r <= 4'b1111;

g <= 4'b1111;

b <= 4'b1111;

end

else

begin

r <= 4'b0000;

g <= 4'b0000;

b <= 4'b0000;

end

*/

end

else

cnt <= 0;

end

end


assign LED[0] = ~vsync;

assign LED[1] = ~hsync;

assign r[3:0] = ((VVID < cnt & VFP < cnt) & (HVID < (cnt % HTOTAL) && (cnt % HTOTAL) < HFP)) ? 4'b1111 : 4'b0000;

assign g[3:0] = ((VVID < cnt & VFP < cnt) & (HVID < (cnt % HTOTAL) && (cnt % HTOTAL) < HFP)) ? 4'b1111 : 4'b0000;

assign b[3:0] = ((VVID < cnt & VFP < cnt) & (HVID < (cnt % HTOTAL) && (cnt % HTOTAL) < HFP)) ? 4'b1111 : 4'b0000;

assign hsync = ((cnt % HTOTAL) < HSYNC)? 0 : 1;

assign vsync = ((cnt         ) < VSYNC)? 0 : 1;


endmodule 




Posted by 구차니
개소리 왈왈/독서2018. 6. 15. 11:20

저번주에 읽었는데 멘탈 날아간 상황이라 이제 올리네..


개인적인 감상평은

카르마의 서양 과학 해설본?


텔로미어는 DNA에 달려서 몇번 세포분열 했는지를 나타내는 마커인데

이게 짧아질수록 수명은 얼마 남지 않은게 된다.

텔로미어는 텔로머라제라는 효소에 의해서 회복이 되기도 하는데

정신적 스트레스나 환경에 의해서 해당 효소 분비가 영향을 받기 때문에

스트레스 받지 않는 삶이 중요해진다.

그렇다고 해서 어떤 영양제를 챙겨 먹는거 보다는 즐겁게 사는게 나을지도 모르겠다 정도?


아무튼 카르마라고 표현한 부분은

평소 행동이 나의 수명(운명?)을 좌우한다. 인데

그렇다고 해서 그거에 너무 신경쓰면 스트레스 받아서 오히려 더 줄어들게 되고

미묘하게.. 결국 니 명줄은 니 태도에 달렸다는 (이런 성격 역시 DNA 레벨에서 어느정도 오니)

운명론적인 결론으로 보여지기까지 한다.


아무튼 어설픈 지식을 지닌 사람이 읽으면 옳지않은 해석을 할 수 도 있는, 미묘한 책.

[링크 : http://www.kyobobook.co.kr/product/detailViewKor.laf?barcode=9788925563275]


+

카르마 보다는 기에 가까울 지도?

'개소리 왈왈 > 독서' 카테고리의 다른 글

책 - (자신감을 키워주는) 질문의 힘  (0) 2018.06.26
책 - 폰트의 맛  (0) 2018.06.21
책 - 내 인생의 첫 책쓰기 (김우태)  (0) 2018.06.01
책 - 1천 권 독서법  (0) 2018.05.30
책 - 예술을 꿀꺽 삼킨 과학  (0) 2018.05.30
Posted by 구차니
embeded/FPGA - ALTERA2018. 6. 15. 10:15

책에 사용한 소스 받는 곳 찾는 중


[링크 : http://digitalfilter.com]


Shift-JIS라 한글 윈도우에서 wordpad나 notepad로 열면 깨져서 안나온다 -_ㅜ

아무튼 저자가 암호 공개를 책 산 사람에게만 공개하고 있으니 저자의 뜻을 따르겠지만..

압축 - 압축 - 자동압축 파일 이런식으로 꽁꽁 싸매놓는건 무지 귀찮네...

FpgaOriginal.zipを展開するには,パスワードが必要です.


パスワードは,


 第1部 1日目 図1-23のタイトルにある8ケタの数字

 第2部 15日目 図15-15のタイトルにある5文字のアルファベット

 Appendix A 図A-4のタイトルにある7文字のアルファベット


以上をハイフンでつないだ文字列です.


例:12345678-YYYYY-ZZZZZZZ


すべて半角文字,アルファベットは大文字小文字に注意してください. 

[링크 : http://www.cqpub.co.jp/toragi/nios2/index.htm] << 100메가

[링크 : http://www.cqpub.co.jp/hanbai/books/48/48191.htm]

[링크 : http://www.cqpub.co.jp/toragi/nios2/movies/movie.htm]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

modelsim nativelink  (0) 2018.06.18
modelsim 클럭연결하기  (0) 2018.06.15
quartus 2 qar file  (0) 2018.06.11
HDMI de0-nano  (0) 2018.06.05
de0-nano 그리고 modelsim 사용법  (0) 2018.06.04
Posted by 구차니