embeded/FPGA - ALTERA2018. 1. 10. 15:11

rpi2의 핀 아웃


DE0-nano의 핀아웃


DE0-Nano miner쪽 설정


라즈베리 8번 핀이 UART0-TX(회색) / 10번 핀이 UART0-RX(보라)

DE0-nano 2번 핀이 RX(회색), 4번 핀이 TX(보라)


1. Hashers22_serial 에서 qpf를 열어 합성하고 구으면 되고

2. serial_solo를 라즈베리에서 실행하면 되는 것 같은데

[링크 : https://github.com/kramble/DE0-Nano-BitCoin-Miner]


해봐야 알 듯..

그나저나 저거 하려고 비트코인 코어 설치하면 알아서(?) 통장을 생성하는거 같은데

이거 값을 이용하는건 또 어떻게 해야하나...


2018/01/10 - [embeded/FPGA] - DE0-Nano 핀헤더 관련

2017/03/25 - [embeded/raspberry pi] - 라즈베리 파이 포트 요약

2018/01/09 - [embeded/FPGA] - 심심해서(?) 비트코인 FPGA 빌드


+

목표로 하던 여러개의 인스턴스를 생성하여 2개나 3개 돌리는건 LE의 부족으로 무리인걸로 판명..

SHA256 부분을 최적화 해보는것도 방법일 거 같은데

이걸 하기에는 아직 실력 부족이고 -_ㅠ

Revision Name fpgaminer

Top-level Entity Name fpgaminer_top

Family Cyclone IV E

Device EP4CE22F17C6

Timing Models Final

Total logic elements 17,503 / 22,320 ( 78 % )

Total registers 11388

Total pins 19 / 154 ( 12 % )

Total virtual pins 0

Total memory bits 5,696 / 608,256 ( < 1 % )

Embedded Multiplier 9-bit elements 0 / 132 ( 0 % )

Total PLLs 1 / 4 ( 25 % )


채굴은 일단 무기한 연기...?


pc 클라이언트를 보니 단순하게  44바이트 보내고 160바이트 받는 구조인듯

[링크 : https://github.com/kramble/DE0-Nano-BitCoin-Miner/blob/master/serial_solo/mine.c#L716]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

de0-nano-soc 와 de10-nano 비교  (0) 2018.01.16
아 몰라 fpga/bitcoin 포기  (0) 2018.01.12
DE0-Nano 핀헤더 관련  (0) 2018.01.10
심심해서(?) 비트코인 FPGA 빌드  (2) 2018.01.09
DE0-Nano와 이더넷 실드..  (0) 2018.01.04
Posted by 구차니
embeded/FPGA - ALTERA2018. 1. 10. 13:13

DE0_Nano_User_Manual.pdf 참조하여

FPGA GPIO랑 2.54 핀헤더랑 매핑된게 안보여서 일단 정리


+

de0-nano_pinout_rev1.0_180530.xlsx


de0-nano_pinout.xlsx



VSYS는 3.6~5.6V 사이

V3P3는 VSYS에서 LDO를 통해 3.3V를 생성한 녀석이다.


그러니까.. 

5V 쓸일 있으면 VSYS에

3.3V 쓸일 있으면 V3P3에 연결하면 된다.

'embeded > FPGA - ALTERA' 카테고리의 다른 글

아 몰라 fpga/bitcoin 포기  (0) 2018.01.12
de0-nano + rpi2 채굴?  (0) 2018.01.10
심심해서(?) 비트코인 FPGA 빌드  (2) 2018.01.09
DE0-Nano와 이더넷 실드..  (0) 2018.01.04
altera AS(Active Serial) / PS(Passive Serial) ?  (0) 2018.01.03
Posted by 구차니
embeded/arduino(genuino)2018. 1. 10. 10:47


D-SUN "USB to TTL" (Blue)

(Rx & Tx use 3.3V logic levels) 

D-SUN사 USB TTL은 (파란색 PCB)

TX가 3.3V로 뜨고

5V는 그냥 USB 전원을 뺴주는 것으로 보인다(전원 공급용?)


Gikfun YP-02 USB to TTL, CH340, 6-pin

(Rx & Tx use ~3.7V logic levels) 

YP-02는 wch의 ch340 칩셋을 사용하는데

점퍼를 이용해서 Tx의 전압을 3.3V나 5.0V로 선택이 가능하다.


[링크 : https://www.cpmspectrepi.uk/raspberry_pi/MoinMoinExport/USBtoTtlSerialAdapters.html]

Posted by 구차니
embeded/FPGA - ALTERA2018. 1. 9. 10:03

소스를 다운 받고 압축을 풀고

[링크 : https://github.com/kramble/DE0-Nano-BitCoin-Miner]


de0-nano용이라고 하긴 했는데 다른 제품들도 추가된 듯

아무튼 EP4C 사용하니까 EP4CE10 폴더 가서 EP4CE10_miner.qpf 를 눌러 qurartus를 실행!


귀찮으니 그냥 합성 시작! 2분 50초에 완료!


메뉴의 Assignment - Assignment Editor가 있어서 눌러보니 Pin Planner 비슷하게 나오네?


먼가 많이 보여서 이름으로 정렬하니, 같은 핀에 대한 설정이 여러가지 였네..

Assignment - Pin Planner 를 실행하니.. 오히려 에디터보다 설정된 핀의 갯수가 줄었다?

아무튼 쓰는법은 나중에 좀 봐야 할 거 같고..


main_pll.v 에서 보니.. DE0-nano가 50MHz 클럭을 사용중이고

이걸 DIV/2 해서 쓰도록 설정 되어 있는 것으로 예상된다.(ALTPLL 아직 쓸줄 모름 ㅠㅠ)

defparam

// NB Uncomment BOTH divide, multiply and frequency settings according to osc speed

// Also change clock period in fpgaminer.sdc

// -------------------------------------------------------------------------------

// altpll_component.clk0_divide_by = 1, // 5 MHz osc

// altpll_component.clk0_divide_by = 1, // 10MHz osc

altpll_component.clk0_divide_by = 2, // 20MHz osc [IN USE]

altpll_component.clk0_duty_cycle = 50,

// altpll_component.clk0_multiply_by = `SPEED_MHZ * 2, // 5MHz osc

// altpll_component.clk0_multiply_by = `SPEED_MHZ, // 10MHz osc

altpll_component.clk0_multiply_by = `SPEED_MHZ, // 20MHz osc  [IN USE]

altpll_component.clk0_phase_shift = "0",

altpll_component.compensate_clock = "CLK0",

// NB inclk0_input_frequency is actually the clock PERIOD in picoseconds 

// altpll_component.inclk0_input_frequency = 200000, // 5 MHz osc

// altpll_component.inclk0_input_frequency = 100000, // 10 MHz osc

altpll_component.inclk0_input_frequency = 50000, // 20 MHz osc [IN USE]

altpll_component.intended_device_family = "Cyclone IV E", 


프로젝트 구성인데..

SHA256 계산하는데 거의 모든 능력치가 몰빵 되었네..

이 패널 보는 법을 좀 찾아 봐야겠네.. 로직셀이 여유가 있다면 이걸 여러개 생성해서

라즈베리 1대에 여러개의 시리얼 포트 구성하고 멀티코어(?)로 돌릴 수 있을 것 같으니..


git의 readme.txt 을 보면

아래꺼랑 내용을 합쳐보면.. 50Mhz / 디바이더(2) * SPEED_MHZ(14) 니까 350MHz로 작동하는건가?

으으으.. 공부할 게 넘쳐나네.. ㅠㅠ


문제는 1MHz당 10mA 전력 소비가 증가되고

40MHz로 돌린게 USB 전원으로 공급되는 500mA 제한 때문인지라 그 이상을 쓰려면

외부 전원을 공급 해주어야 한다. 그럼..3.5A * 5V = 17.5W 가 되는건가.. ㄷㄷ

(그러니까 잘 꽁수를 부려서 쿼드 코어를 구성해야... 40Mhz * 4 ㅋㅋㅋ)

그리고 80MHz 이상은 별도의 냉각이 필요하다고 한다.


처음 굽고 나서 켜면 halt 상태라 KEY0을 눌러서 켜줘야 한다고 한다. KEY1은 다시 죽임.

(근데 핀 플래너에 KEY0,KEY1에 대한 할당이 없었는데?


The PLL clock speed is set by the parameter (Verilog macro) SPEED_MHZ in units of 10MHz,

eg SPEED_MHZ=4 runs at 40MHz, which is the default setting. This gives 6.67MHash/s

throughput. This is the fastest that I recommend running an unmodified DE0-Nano. You may

clock faster at your own risk, but you should monitor the temperature of the the onboard

regulator chips as these will get VERY hot unless additional cooling is applied (eg fan

blown air). The fpga chip may also need cooling. BEWARE, you risk destroying your board

due to overheating if you increase SPEED_MHZ, and the actual speed in MHz is 10 times the

value set for SPEED_MHZ.


Current draw is approx 10mA per MHz (eg 40MHz will draw approx 400mA). Beware that a

typical USB port may only be able to supply 500mA so a 5.0 Volt external power supply

connected to the DE0-Nano white PSU header (JP4) is advised if overclocking. I have not

myself run this configuration any faster than 80MHz (SPEED_MHZ=8), and fan cooling was

essential.


After programming, the miner starts up in a halted state (it does NOT mine in this state)

Pressing the KEY0 pushbutton on the DE0-Nano board will start the miner (and reset the

nonce). Pressing KEY1 will halt it. This allows some control of power and thermal

management as the halted state draws considerably less current from the power supply.

This was of importance when extreme overclocking was applied to the Hashers22_serial

version as follows. 


SPEED_MHZ가 값으로 주어진다는데 한참을 찾았네 -_-

파일로 검색하니 qsf 확장자에서 설정되어 있어서, 프로젝트 설정을 찾아 보니

Compiler Setting의 Verilog HDL input에

Existing Verilog HDL macro settings:에 존재한다.


[링크 : https://www.rs-online.com/designspark/bitcoin-mining-with-a-raspberry-pi-and-de0-nano]

2017/12/29 - [embeded/FPGA] - de0-nano + rpi = 채굴머신..



+

DE2-115와 같은 패밀리의 DE-Nano는 생각외로 저조하네..

어떤 설정인지 모르겠으나.. 머 Cyclone IV가 그리 고성능은 아니니까..


[링크 : https://en.bitcoin.it/wiki/Mining_hardware_comparison]

    [링크 : http://bitpeople.kr/bbs/board.php?bo_table=Mining&wr_id=6]


DE2-115도 클럭 이빠이~ 끌어 올려서 80MH/s 도달한거 같은데

40Mhz로 작동하면 16.78MH/s 라고 하니 200MHz로 작동시킨 듯?

(그러니까 쿼드로 작동시키고 라즈베리에 시리얼 여러개 달고 전원 뻥튀기 하면...)

You will then be prompted to select a SOF to program, best to start with DE0_Nano_serial-40MHz.sof

Programming should only take a second or two. The blinkenlights demo should now change to a simple binary

count. This is the top byte of the nonce and gives a good indication of the hash speed (its 2^32 divided

by the time in seconds for a complete cycle, or roughly one increment per second translates to 16.78MH/s). 


GTX1050이 동났던걸로 기억하면 GTX1080이 AntMiner의 절반에 근접한다고 해도

여러가지 면에서 GPU 채굴의 효율이 높지는 않은데..

AntMiner가 1000W 이상을 쳐먹쳐먹 하는 입장에서 보면.. 무시무시 하네..

[링크 : http://jse.or.kr/AJMAHS/papers/v7n5/76.pdf]


다만.. 단순 산술로 해보면

GTX1080 기준 160W max 정도 소비하고

[링크 : http://www.hwbattle.com/chart/chart_vgapw.php]


12.5TH(=12500 GH)를 1200W에 처리하는 AntMiner S9를 기준으로 계산해보면

7.5개 분량의 전력을 소비하고

GTX1080이 4500MH니까 4.5GH/s 이고 7개 하면 31.5GH/s

403배 ASIC 쪽이 우월한 성능을 낸다.. ㄷㄷ

[링크 : https://shop.bitmain.com/specifications.htm?name=antminer_s9_asic_bitcoin_miner]

[링크 : https://shop.bitmain.com/productDetail.htm?pid=0002018010817075487815N912ME05E5]


아무튼... 내가 가진 염가형 FPGA로는 GTX 700 시리즈에는 비벼볼만 하나

GTX 900 시리즈에 발리기 시작하고, 소비전력 대비로든 딱히 ASIC이 나온 시점에서는 장점이 없다.

물론.. 돈 버는게 목적이 아니라 시스템 구축하고 Verilog 공부하는 목적으로는 해볼만 할거 같은데

한번.. 돌려는 봐야겠다



목표는..

로직이 허용하는 한도 내에서 최대한의 모듈을 생성하고 RX를 할당해서 멀티 코어 구성하고

라즈베리에서 USB 시리얼등을 이용해서 다 채널로 구성해서 돌려보는 걸로...?



+

코드를 보는데..

EP4CE22F17C6 가 DE0-Nano 껀데.. 이걸로 설정된 녀석이

Hashers22

Hashers22_serial

Makomk_Hashers_32_Serial

이 세녀석이다. 다시 봐야하나?


+

2018.01.10

Hashers22_serial 이 폴더에 있는 소스가 DE0-Nano에 가장 적절한 녀석으로 보인다.

'embeded > FPGA - ALTERA' 카테고리의 다른 글

de0-nano + rpi2 채굴?  (0) 2018.01.10
DE0-Nano 핀헤더 관련  (0) 2018.01.10
DE0-Nano와 이더넷 실드..  (0) 2018.01.04
altera AS(Active Serial) / PS(Passive Serial) ?  (0) 2018.01.03
fpga를 이용한 VGA(D-SUB 15pin) 출력  (0) 2018.01.03
Posted by 구차니
embeded/FPGA - XILINX2018. 1. 7. 11:39

원래 목적은

microBlaze가 포함되지 않은 순수한 FPGA 영역을 위한 bitstream 용량 확인


RTL 로 된 간단한 프로젝트 빌드에 성공!

(Clock 어쩌구 된거 하려니 Clock wizard 써서 머 해라해라 해서 포기 ㅠㅠ)


synthesis까진 문제없는데

implement 단계에서 넘어가고

bitstream 만들때 DRC 어쩌구 하면서 에러가 뜨는데,

 [DRC UCIO-1] Unconstrained Logical Port: 57 out of 71 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined.  To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run.  Problem ports: wbInputData[29], wbInputData[28], wbInputData[27], wbInputData[25], wbInputData[24], wbInputData[23], wbInputData[21], wbInputData[20], wbInputData[18], wbInputData[17], wbInputData[16], wbInputData[15], wbInputData[14], wbInputData[13], wbInputData[12]... and (the first 15 of 57 listed).


핀이름이 막 써있길래 메뉴 뒤적뒤적 해보니까 Window-I/O Ports 라고 있고


먼지 몰라서 Fixed에 체크 안된녀석들이 에러를 내는거 같아서 전부 체크하고 다시 bitstream 만드니 완성!


일단.. artix-7 xc7a35tcsg325-1 기준으로

약 2.08MB 정확하게는 2192012byte의 용량이 FPGA 순수 설정 용량으로 보이고

플래시 덤프해서, 칩셋 맞춰주고 만든 bitstream 용량으로 잘라내면 잘 되지 않을까?


+

2018.01.10

DE0-nano를 빌드해서 나온 녀석을 보니..

718663에 끝나는것으로 보인다. 약.. 700KB 확실히. artix-7이 더 고급이라고 보면 되려나?

'embeded > FPGA - XILINX' 카테고리의 다른 글

xilinx zynq와 altera cyclone V 용어비교  (0) 2018.01.30
xilinx bitstram ecryption  (0) 2018.01.23
xilinx vivado / ISE 라이센스 관련  (0) 2017.12.19
digilent Arty A7  (0) 2017.12.10
xilinx Bitstram Length와 Logic cell  (0) 2017.12.08
Posted by 구차니
embeded/FPGA - ALTERA2018. 1. 4. 21:33

크기가 안 맞네?

딱 한개 핀헤더 2.54mm 만큼 부족한 듯


DE0-Nano-Soc 모델은 아두이노 자체가 핀 헤더가 존재한다.

[링크 : http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=941]



+

2018.01.08


Posted by 구차니
embeded/Cortex-M3 Ti2018. 1. 4. 16:17

B가 Branch

그러니까 x86의 JMP 같은건가?

[링크 : https://en.wikipedia.org/wiki/JMP_(x86_instruction)]


3.9.1. B, BL, BX, and BLX

Branch instructions.

[링크 : http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.dui0552a/BABEFHAE.html]


3.9.2. CBZ and CBNZ

Compare and Branch on Zero, Compare and Branch on Non-Zero.

[링크 : http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.dui0552a/BABFFHBB.html]

'embeded > Cortex-M3 Ti' 카테고리의 다른 글

srec_cat.exe  (0) 2018.05.08
CMSIS for stellaris/TM4C  (0) 2018.02.12
cortex-m3 keil 부트로더  (0) 2017.12.21
keil sct - 링커 스크립트  (0) 2017.12.11
lm3s 부트로더  (0) 2017.11.21
Posted by 구차니
embeded/Cortex-M4 Ti2018. 1. 4. 14:35

일단.. 사용중인 보드가 UART0가 아닌 UART1을 외부용으로 쓰고 있어서 손을 봐야 했는데

bl_config.h 에서 얘가 원본이고

#define UART_ENABLE_UPDATE

#define UART_AUTOBAUD

//#define UART_FIXED_BAUDRATE     115200

#define UART_CLOCK_ENABLE         SYSCTL_RCGCUART_R0

#define UARTx_BASE                UART0_BASE

#define UART_RXPIN_CLOCK_ENABLE   SYSCTL_RCGCGPIO_R0

#define UART_RXPIN_BASE         GPIO_PORTA_BASE

#define UART_RXPIN_PCTL         0x1

#define UART_RXPIN_POS          0

#define UART_TXPIN_CLOCK_ENABLE SYSCTL_RCGCGPIO_R0

#define UART_TXPIN_BASE         GPIO_PORTA_BASE

#define UART_TXPIN_PCTL         0x1

#define UART_TXPIN_POS          1


UART1을 쓰려고 한다면 요렇게 6개를 손을 봐주어야 한다.

#define UART_ENABLE_UPDATE

//#define UART_AUTOBAUD

#define UART_FIXED_BAUDRATE     38400

#define UART_CLOCK_ENABLE         SYSCTL_RCGCUART_R1

#define UARTx_BASE                UART1_BASE

#define UART_RXPIN_CLOCK_ENABLE   SYSCTL_RCGCGPIO_R1

#define UART_RXPIN_BASE         GPIO_PORTB_BASE

#define UART_RXPIN_PCTL         0x1

#define UART_RXPIN_POS          0

#define UART_TXPIN_CLOCK_ENABLE SYSCTL_RCGCGPIO_R1

#define UART_TXPIN_BASE         GPIO_PORTB_BASE

#define UART_TXPIN_PCTL         0x1

#define UART_TXPIN_POS          1


그리고 PORTB의 2번 핀이 485 TXEN으로 했는데

좀 더 테스트를 해봐야겠지만, 38400 에서는 문제없이 PC와 1m 이내 선으로 연결해서는 문제없이 업데이트 된다.

(57600 까지는 성공적인듯.. 115k는 줄여줘도 안되네.. rs485 특성으로 보임)

(Autobaud는 작동을 못하네.. rs485 특성일려나?)

void

UARTSend(const uint8_t *pui8Data, uint32_t ui32Size)

{

    //

    // Transmit the number of bytes requested on the UART port.

    //

    GPIOPinWrite(GPIO_PORTB_BASE, GPIO_PIN_2, GPIO_PIN_2);

    Delay(10);

    while(ui32Size--)

    {

        //

        // Make sure that the transmit FIFO is not full.

        //

        while((HWREG(UARTx_BASE + UART_O_FR) & UART_FR_TXFF))

        {

        }


        //

        // Send out the next byte.

        //

        HWREG(UARTx_BASE + UART_O_DR) = *pui8Data++;

    }


    //

    // Wait until the UART is done transmitting.

    //

    UARTFlush();

    GPIOPinWrite(GPIO_PORTB_BASE, GPIO_PIN_2, 0);

    Delay(10);


LM Flash 에서는 UART로 하고 귀차니즘으로 인해(...)

Autobaud 대신 38400 으로 고정을 했는데


Program탭에서 Program Address Offset만 0x00001000 으로 바꾸어 주면 된다.

LR_IROM 0x00001000 0x00040000

{

    ER_IROM 0x00001000 0x00040000

    {

        *.o (RESET, +First)

        * (InRoot$$Sections, +RO)

    }


    RW_IRAM 0x20000000 0x00008000

    {

        ;* (vtable, +First)

        * (+RW, +ZI)

    }


(물론 해당 바이너리는 sct 파일에서 0x00000000을 0x00001000 으로 바꾸고 다시 컴파일 해야 한다)


coocox 라는 녀석을 사용중인데 이녀석은 0x1000이 아니라 0x1 섹터로 옵셋을 잡아주니 잘 쓴다.

옆에 써있듯 4번 섹터가 0x00001000 이니 offset을 0x04로 해주면 될것으로 보인다.

[링크 : http://www.coocox.org/book/coocox/CoFlash/GUI-Mode]

+

38400은 delay 없이도 일단 작동

57600은 delay(10) 있어야 작동


+

머지.. 부트로더 올리고 시리얼로 올린다음은 되는데 그 이후에는 안켜진다 -ㅁ-?

Posted by 구차니
embeded/FPGA - ALTERA2018. 1. 3. 23:49

문서를 보다 보니 Active Serial / Passive Serial 이라는 단어가 나와서 검색해보니..

일단 Active/Passive는 FPGA의 기준으로 보면 될 것 같다.

Active Serial은 FPGA가 활성화 되서 master 로서 EPCS나 EPCQ를 쓰는 것이고

Passive Serial은 FPGA가 플래시에 관여하지 않고 별도의 micom이나 다른 장치를 통해 플래시를 굽는 것이다.



[링크 : https://www.altera.com/support/support-resources/support-centers/devices/cfg-index/cfg-compare.html]


The Active Serial (AS) configuration scheme is supported in the 1 bit data width (AS x1) or the 4 bit data width (AS x4). The AS x4 scheme is supported only in Stratix® V devices. AS configuration can be performed using an Altera® serial configuration (EPCS) device or quad-serial configuration (EPCQ) device. During AS configuration, the Altera FPGA acts as the configuration master and the EPCS or EPCQ device acts as the configuration slave. The FPGA outputs the clock on the DCLK pin and receives the configuration data from the EPCS or EPCQ device on the data pin(s).

[링크 : https://www.altera.com/support/support-resources/support-centers/devices/cfg-index/cfg-as.html]


Passive serial (PS) configuration can be performed using an Altera® download cable, an Altera configuration device, or an intelligent host such as a microprocessor. During PS configuration, data is transferred from a configuration device, flash memory, or other storage device to the Altera device on the DATA0 pin. This configuration data is latched into the FPGA on the rising edge of DCLK. Configuration data is transferred at a rate of one bit per clock cycle.

[링크 : https://www.altera.com/support/support-resources/support-centers/devices/cfg-index/cfg-ps.html]


[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ug/ug_usb_blstr.pdf]


To program a device in JTAG or Passive Serial mode:

  1. On the File menu, click Open and select the Chain Description File that contains the JTAG or Passive Serial chain you wish set up.

  2. Plug the download cable into the system that contains the devices or device to program.

  3. If necessary, select the appropriate hardware setup for the chain.

  4. If necessary, in the Mode list, select JTAG or Passive Serial.

  5. If you are using JTAG mode, and you want to automatically add devices in a device chain to the programming list, click Auto Detect.

  6. Make sure the device name(s) displayed in the Device list matches the device(s) installed in the system.

  7. If you want, turn on one or more programming options in the programming list.

  8. To start programming or other optional action, click Start.

[링크 : http://quartushelp.altera.com/15.0/mergedProjects/program/pgm/pgm_pro_prog_single_as_device.htm]

Posted by 구차니
embeded/Cortex-M4 Ti2018. 1. 3. 09:18

'embeded > Cortex-M4 Ti' 카테고리의 다른 글

c99 inline과 extern  (0) 2018.04.20
tm4c1231 부트로더 + RS485  (0) 2018.01.04
keil linker 옵션 entry  (0) 2017.12.28
tivaware bootloader + qs-rgb 테스트  (0) 2017.12.28
tm4c tivaware bootloader 빌드..  (2) 2017.12.26
Posted by 구차니