embeded/FPGA - ALTERA2017. 12. 27. 09:00

모 카페에 가입해서 받은 내용정리


개발흐름

ModelSim - RTL Simulation

Quartus2 - Synthesis / Place & Route, Timimg analysis

ModelSim - Timing Simulation


ModelSim 2000달러.. ㄷㄷㄷ

[링크 : https://www.altera.com/products/design-software/model---simulation/modelsim-altera-software.html]

Mentor 사의 프로그램인데 altera quartos에 통합한 듯

[링크 : https://en.wikipedia.org/wiki/ModelSim]



MAX 시리즈 - CPLD

Stratix 시리즈 - 고밀도, 고성능 (2002)

Cyclone 시리즈 - Lost Cost... (2003)

Arria 시리즈 - Low Cost 트랜시버

Hardcopy 시리즈 - ASIC

(고성능 버전 만들고 염가형을 만드는게 당연한거였나?)


ALTERA Cyclone 시리즈

Cyclone 1은 NIOS, DDR

Cyclone 2 부터 NIOS2, DDR2 지원

Cyclone V 부터 DDR3

[링크 : https://www.altera.com/en_US/pdfs/literature/hb/cyclone-v/cv_51001.pdf]


(음? 전부 LUT 기반인줄 알았는에 아니었나?)

Product Term Based Building Blocks

- EEPROM 기반 - 비휘발성

- XOR 게이트 (합성용)

- 프로그래머블 AND, 고정 OR 어레이


LUT Based Building Blocks

- SRAM 기반, 휘발성 (CPLD는 비휘발성)

- LUT를 통해 원하는 기능 구현 가능(n-Input AND, n-Input XOR)


칩 구조에서 M512 M4K/M9K 이런게 보여서 검색

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/ug/ug_ram.pdf]


RTL

단순용어와는 다르게 RTL 이라고 표현하면 대개 HDL 언어로 기술된걸 의미하는 듯

[링크 : https://en.wikipedia.org/wiki/Register-transfer_level]


Qsys : SOPC builder

- SoPC Builder (System on a Programmable Chip Builder) 

SOPC Builder, a tool in Quartus II software that eliminates manual system integration tasks by automatically generating interconnect logic and creating a testbench to verify functionality

Qsys, a system-integration tool that is the next generation of SOPC Builder. It uses an FPGA-optimized network-on-chip architecture that doubles the fMAX performance vs. SOPC Builder. 

[링크 : https://en.wikipedia.org/wiki/Altera_Quartus]


'embeded > FPGA - ALTERA' 카테고리의 다른 글

quartus2 설치 + usb blaster 설치  (0) 2017.12.27
de0-nano LED 예제  (0) 2017.12.27
GHDL - 시뮬레이터  (0) 2017.12.26
c to HDL ㄷㄷㄷ  (0) 2017.12.22
FPGA IP 관련..  (0) 2017.12.22
Posted by 구차니
embeded/Cortex-M4 Ti2017. 12. 26. 16:25

받아둔지 좀 오래된 녀석이라 구버전일거 같은데

우여곡절 끝에 일단 keil 에서 빌드'만' 성공 -_-


보드는 ek-tm4c132gxl

소스는 C:\ti\TivaWare_C_Series-2.1.3.156\boot_loader

프로젝트 파일은 C:\ti\TivaWare_C_Series-2.1.3.156\examples\project


프로젝트 속성 - User - Run User Program Before Build/Rebuild에 아래 항목 추가

armcc --device DLM -o bl_config.inc -E ..\..\boot_loader\bl_config.c 


프로젝트 속성 - C/C++ - Preprocessor Symbols에 아래 항목 추가

UART_ENABLE_UPDATE UART_AUTOBAUD  

(위에껀 bl_config.h에 선언된 내용들 골라서 사용)


프로젝트 속성 - Linker - Scatter File 을 아래항목으로 수정

..\..\boot_loader\bl_link.sct 


빌드는 되고, 펌웨어 올려도 봤는데..

LM Flash Progammer랑 되질 않네.. 으으으 ㅠㅠ


+

__main 관련해서 검색하다 나온 녀석.. 책 한권 살까..

[링크 : http://recipes.egloos.com/5044366]


+

2017.12.27

한두개 define 열어줄게 아니라 bl_config.h 에서 여러개를 한번에 해주어야 한다.

//*****************************************************************************

//

// Selects the UART as the port for communicating with the boot loader.

//

// Depends on: None

// Exclusive of: CAN_ENABLE_UPDATE, ENET_ENABLE_UPDATE, I2C_ENABLE_UPDATE,

//               SSI_ENABLE_UPDATE, USB_ENABLE_UPDATE

// Requires: UART_AUTOBAUD or UART_FIXED_BAUDRATE, UART_CLOCK_ENABLE

//           UARTx_BASE, UART_RXPIN_CLOCK_ENABLE, UART_RXPIN_BASE

//           UART_RXPIN_PCTL, UART_RXPIN_POS, UART_TXPIN_CLOCK_ENABLE,

//           UART_TXPIN_BASE, UART_TXPIN_PCTL and UART_TXPIN_POS

//

//***************************************************************************** 

#define UART_ENABLE_UPDATE


Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 26. 14:39

혹시나 해서 오픈소스로 bitstream 파일을 뱉어내는 vhdl 컴파일러(?)가 있는지 찾아 보는데

아무래도 업체 종속적이다 보니 합성은 안되고

시뮬레이션 정도만 되는게 한계인 듯..


[링크 : http://ghdl.free.fr/]

[링크 : https://github.com/ghdl/ghdl]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

de0-nano LED 예제  (0) 2017.12.27
altera 교육자료 요약  (0) 2017.12.27
c to HDL ㄷㄷㄷ  (0) 2017.12.22
FPGA IP 관련..  (0) 2017.12.22
염가형(?) FPGA evaluation 보드  (0) 2017.12.20
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 22. 17:42

'embeded > FPGA - ALTERA' 카테고리의 다른 글

altera 교육자료 요약  (0) 2017.12.27
GHDL - 시뮬레이터  (0) 2017.12.26
FPGA IP 관련..  (0) 2017.12.22
염가형(?) FPGA evaluation 보드  (0) 2017.12.20
altera quartus 라이센스 관련  (0) 2017.12.19
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 22. 15:55

심심해서(?) 지른기념으로(?!) 찾아봤는데

괜히 자일링스 많이 사용하는게 아닌 듯..


확실히 이것저것 키워드 넣어서 검색해보면 자일링스 쪽이 다양하게 잘 뜬다.

[링크 : https://www.xilinx.com/products/intellectual-property.html]

[링크 : https://www.altera.com/products/intellectual-property/ip.html]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

GHDL - 시뮬레이터  (0) 2017.12.26
c to HDL ㄷㄷㄷ  (0) 2017.12.22
염가형(?) FPGA evaluation 보드  (0) 2017.12.20
altera quartus 라이센스 관련  (0) 2017.12.19
망할 지름신님...  (0) 2017.12.14
Posted by 구차니
embeded/Cortex-M3 Ti2017. 12. 21. 17:33

음.. bl_startup_rvmdk.S를 보니

아래와 같은 구문이 정상적일 경우 점프할 주소를 넣어 주는 구문인가?

어셈블리를 좀 봐야겠네...


;******************************************************************************

;

; The reset handler, which gets called when the processor starts.

;

;******************************************************************************

    export  Reset_Handler

Reset_Handler

    ;

    ; Initialize the processor.

    ;

    bl      ProcessorInit


    ;

    ; Branch to the SRAM copy of the reset handler.

    ;

    ldr     pc, =Reset_Handler_In_SRAM


;******************************************************************************

;

; Initialize the processor by copying the boot loader from flash to SRAM, zero

; filling the .bss section, and moving the vector table to the beginning of

; SRAM.  The return address is modified to point to the SRAM copy of the boot

; loader instead of the flash copy, resulting in a branch to the copy now in

; SRAM.

;

;******************************************************************************

    export  ProcessorInit

ProcessorInit

    ;

    ; Copy the code image from flash to SRAM.

    ;

    if      :def:_FLASH_PATCH_COMPATIBLE

    movs    r0, #0x1000

    else

    movs    r0, #0x0000

    endif

    movs    r1, #0x0000

    movt    r1, #0x2000

    import  ||Image$$SRAM$$ZI$$Base||

    ldr     r2, =||Image$$SRAM$$ZI$$Base||

copy_loop

        ldr     r3, [r0], #4

        str     r3, [r1], #4

        cmp     r1, r2

        blt     copy_loop


    ;

    ; Zero fill the .bss section.

    ;

    movs    r0, #0x0000

    import  ||Image$$SRAM$$ZI$$Limit||

    ldr     r2, =||Image$$SRAM$$ZI$$Limit||

zero_loop

        str     r0, [r1], #4

        cmp     r1, r2

        blt     zero_loop


    ;

    ; Set the vector table pointer to the beginning of SRAM.

    ;

    movw    r0, #(NVIC_VTABLE & 0xffff)

    movt    r0, #(NVIC_VTABLE >> 16)

    movs    r1, #0x0000

    movt    r1, #0x2000

    str     r1, [r0]


    ;

    ; Return to the caller.

    ;

    bx      lr 


[링크 : https://e2e.ti.com/support/microcontrollers/stellaris_arm/f/471/t/100834]

'embeded > Cortex-M3 Ti' 카테고리의 다른 글

CMSIS for stellaris/TM4C  (0) 2018.02.12
cortex m3 어셈블리 bl, cbz  (0) 2018.01.04
keil sct - 링커 스크립트  (0) 2017.12.11
lm3s 부트로더  (0) 2017.11.21
JTAG / SWD 핀 연결방법 조사..  (0) 2017.04.04
Posted by 구차니
embeded/Cortex-M4 Ti2017. 12. 21. 11:26

위의 USB에 연결하고 Power Select를 DEBUG로 하고 

C:\ti\TivaWare_C_Series-2.1.3.156\examples\boards\ek-tm4c123gxl\usb_dev_gamepad

usb gamepad 바이너리를 올린다음

[링크 : http://www.ti.com/lit/ug/spmu296/spmu296.pdf]


왼쪽의 USB에 연결하고 Power Select를 DEVICE로 해주니 엌ㅋ

HID 장비로 해서 게임 컨트롤러 인식이 된다. ㄷㄷ

보드에 버튼이 두개 있는데.. 도대체 저 X/Y/Z 축은 어떤 정보로 연결된건지 모르겠고

(기울기 센서 있는것도 아니니.. 무슨 값일까?)


아무튼 Example Game Pad 라는 이름으로 인식된다.



+

USB_DP / USB_DM 으로, PD4 / PD5에 연결이 되어있네..

driverlib에서 usb 지원하니 별다른 칩셋이나 회루 구성없이 바로 되나 보네..


[링크 : http://www.ti.com/lit/ug/spmu296/spmu296.pdf]


칩에 USB 컨트롤러가 들어있네

[링크 : http://www.ti.com/lit/ds/symlink/tm4c123gh6pm.pdf]

Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 20. 15:23

이럴때는 한국에 사는게 아쉬움..

외국에는 가짓수도 많고 싸보이는데.. ㅠㅠ

[링크 : https://joelw.id.au/FPGA/CheapFPGADevelopmentBoards]


여전이 고민중이지만.. 가격상의 문제로

Altera Cyclone 4. V관련해서는

DE1-SoC나 DE0-SoC는 좀 많이 무리고 (한 20?)

DE0-Nano가 무난하긴 한데, DIP 스위치랑 LED, 버튼식 스위치 2개 있는게 다라서 조금 고민

개인적인 욕심이지만 랜 정도는 달리면 좋겠는데 욕심일 뿐이려나?


Zynq 관련해서는

Parallella 인가 이건 영 구할데가 없고 (한 13.. 근데 판매종료인데..)

Zybo는 매물이 안보이고 (한 15?)

Zedboard 는 심하게 비싸고 ㅠㅠ (한 30?)


Artix-7 관련해서는

Arty-7 정도가 보이는데.. 가격을 물어봐야 하는 귀차니즘이..(한 10?)

다만 얘는 랜도 달렸고. xilinx니까 microblaze도 해볼 수 있으니


랜을 포기하고 de0-nano로 시작해서 나중에 arty-7 구해서 양쪽다 다뤄보는게 나을려나?



+

de0-nano나 arty-7 35T나 둘다, USB 전원으로 충분하고, 외부 전원은 optional이다.


arty에는 ETH phy도 있고.. 램도 넉넉하고 LED랑 스위치가 좀더 많아서 가지고 놀기에는 좋아 보이네..


[링크 : https://reference.digilentinc.com/reference/programmable-logic/arty/reference-manual?redirect=1]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

c to HDL ㄷㄷㄷ  (0) 2017.12.22
FPGA IP 관련..  (0) 2017.12.22
altera quartus 라이센스 관련  (0) 2017.12.19
망할 지름신님...  (0) 2017.12.14
ASSP - Application-specific standard product  (0) 2017.12.14
Posted by 구차니
embeded/FPGA - XILINX2017. 12. 19. 15:49

기능적으로 동일

  • Vivado HL Design / Vivado HL WebPack 
  • Vivado HL System / 30일 트라이얼
WebPack 보다 Lab Edition이 기능이 떨어짐
WebPack은 HL Design 보다 지원하드웨어가 부족, Partial Reconfiguration은 구매 필요

300~400만원 선은 하겠네 ㄷㄷ

[링크 : https://www.xilinx.com/products/design-tools/vivado.html#buy]


Vivado와 비슷한 제약사항을 따름

[링크 : https://www.xilinx.com/products/design-tools/ise-design-suite.html]



ISE는 모든 에디션에서 Partial Reconfiguration을 구매해야 하지만

Vivado는 Trial도 제공하는 기능으로 구성상 차이가 조금 있음

'embeded > FPGA - XILINX' 카테고리의 다른 글

xilinx bitstram ecryption  (0) 2018.01.23
xilinx artix-7 프로젝트 빌드해봄  (0) 2018.01.07
digilent Arty A7  (0) 2017.12.10
xilinx Bitstram Length와 Logic cell  (0) 2017.12.08
reVISION / zynq 웨비나  (0) 2017.11.09
Posted by 구차니
embeded/FPGA - ALTERA2017. 12. 19. 15:06

특이하게도 Standard가 가장 많은 걸 지원하되, 하이엔드 급은 빠지고

Pro는 하이엔드만 지원하고,

웹버전(lite)는 standard와 유사하지만, 일부 메인스트림급(엔트리 상급)은 빠지는 식인 듯

[링크 : http://dl.altera.com/devices/] 버전별 지원 칩셋 목록


버전별로 Nios II EDS 포함한 Quartus Prime은 공통으로 포함

openCL은 lite에서는 빠짐

[링크 : https://www.altera.com/downloads/software/archives/arc-index.html]

    [링크 : http://dl.altera.com/16.1/?edition=lite] lite 버전(web)

    [링크 : http://dl.altera.com/16.1/?edition=standard] standard 버전

    [링크 : http://dl.altera.com/16.1/?edition=pro] pro 버전


lite만 no license required..

[링크 : https://www.altera.com/downloads/download-center.html]

[링크 : https://www.altera.com/support/support-resources/download/licensing/q-and-a.html]


300~400 만원 정도는 우습게 넘겠네 ㄷㄷ

[링크 : https://www.altera.com/buy/design-software.html]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

FPGA IP 관련..  (0) 2017.12.22
염가형(?) FPGA evaluation 보드  (0) 2017.12.20
망할 지름신님...  (0) 2017.12.14
ASSP - Application-specific standard product  (0) 2017.12.14
FPGA IP?  (0) 2017.12.11
Posted by 구차니