embeded/FPGA - ALTERA2018. 4. 5. 22:27

클럭분석 빠른 로직(단계 짧은)

느린로직과 서로다른 클록 사용가능

[링크 : https://stackoverflow.com/.../why-use-multiple-clocks-of-the-same-speed-in-an-fpga-design]


읽어 볼 걸 찾아 보고 있는데

일단 클럭 도메인을 통해서 전원소비를 줄일수도 있는 듯?

Avalon Memory Manager와 nios 클럭 서로 다르게 줄 수 있다.


13. Power Optimization

 In this design, a Nios® II processor acts as the controller operating at 50 MHz. A DMA controller operating at 100 MHz manages the data path, and reads and writes data buffers that also operate at 100 MHz.

[링크 : https://www.altera.com/en_US/pdfs/literature/hb/qts/qts_qii52016.pdf]

Cyclone V SoC Power Optimization

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/an/an734.pdf]

11. Building Systems with Multiple Clock Domains

[링크 : https://www.altera.com.cn/content/dam/altera-www/global/zh_CN/pdfs/literature/hb/qts/qts_qii54008.pdf]


Metastability - 준안정성

Understanding Metastability in FPGAs 

[링크 : https://www.altera.com/en_US/pdfs/literature/wp/wp-01082-quartus-ii-metastability.pdf]


AN 545: Design Guidelines and Timing Closure Techniques for HardCopy ASICs

[링크 : https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/an/an545.pdf]

'embeded > FPGA - ALTERA' 카테고리의 다른 글

Device Installer (Quartus Prime 17.1)  (0) 2018.04.17
Quartus 프로젝트 생성  (0) 2018.04.16
Nios II HAL alt_load()  (0) 2018.04.03
EPCS 부팅 관련 BSP Editor 설정  (0) 2018.03.24
intel FPGA/altera 소비전력 예측  (0) 2018.03.23
Posted by 구차니